Fórum témák

» Több friss téma
Fórum » Alacsony költségű digitális oszcilloszkóp
Lapozás: OK   24 / 118
(#) iamrob válasza bbatka hozzászólására (») Szept 2, 2006 /
 
Ezek itt CPLD-k. Olcsók és gyorsak (közel 200MHz) , igaz 3.3 V-osak, van 5 V-os is, de drágább és lassabb . Sőt van 1.8V-os is, ami még gyorsabb.
A Xilinx FPGA-kban sajnos SRAM tárolja a kódot, ezért ahhoz külön boot PROM kell, de egy szkóphoz bőven elég a CPLD is.
Amúgy ChipCAD-ben kaphatók
(#) dpeti válasza iamrob hozzászólására (») Szept 2, 2006 /
 
persze elég a CPLD, de ha pl VGA monitorra akarod dobni, meg bele szeretnél tenni FFT-t a spektrum analízishez, esetleg egér/billentyűzet kezelést, hogy ne keljen sokat vacakolni az előlappal () és szabványos eszközökkel meglegyen minden, ahhoz azért ajánlott egy FPGA
(#) dpeti válasza bbatka hozzászólására (») Szept 2, 2006 /
 
http://www.opencores.org/projects.cgi/web/alternascope/overview
tess, ez itt egy minimális változat VGA, ps2 egér, ellenben ez csak a vezérlés, kell hozzá A/D átalakító (jelen esetben egy Atmel starter kitet használ A/D-ra) meg ki mit akar még... pl lowpass filter
(#) bbatka válasza iamrob hozzászólására (») Szept 2, 2006 /
 
Köszi az infót. Eddig még egyáltalán nem foglakoztam sem CPLD-vel sem FPGA-val, de a harmadik szkópomhoz már biztos hogy azt fogok használni.
(#) bbatka válasza bbatka hozzászólására (») Szept 2, 2006 /
 
Egy idézet a ChipCAD egyik cikkéből. Szerintem egy 200khz-es szkóppal is el lehet boldogulni hobbi szinten.

A dsPIC33F család minden tagja legalább 40MIPS sebességű, belső DMA-val és DSP jellegű utasításkészlettel rendelkezik. Hamarosan elérhetőek lesznek dsPIC33F mikrovezérlők 2MSPS 10bites A/D-vel is!
(#) Gory válasza iamrob hozzászólására (») Szept 3, 2006 /
 
Idézet:
„Azért ne keverjük a szezont a fazonnal, illetve az FPGA-t a mikrokontrollerrel. A fentebb említett QL8x12B FPGA "csak" 100MHz-es és 2ns a késleltetése, ami nagyon jó.”


Szerintem se keverjük. Meg az FPGA-t se keverjük a CPLD-vel. AZ FPGA-ban ugyanis van szekvenciális utasításvégrehajtás. Ha írsz egy programot Verilog vagy VHDL nyelven mondjuk, szerinted az nem hajtódik végre? A huzalozot logika a CPLD-kben van. Azzal meg tudod csinálni a logikai részeket, órajelosztást is, de vezérléshez nem használható.
Nekem nem kell bemutatni őket.
A 300Mhz meg arra az FPGA-ra vonatkozott ami a belinkelt oldalon található.
(#) iamrob válasza Gory hozzászólására (») Szept 3, 2006 /
 
Nem vonom kétségbe, hogy vannak processzormaggal (esetleg DSP maggal) kiegészített FPGA-k, de azok már nem "hagyományos" FPGA-k. Nem beszélve arról, ha egy ingyen letölthető mag kódját égeti be valaki, majd azt használja utasítás végrehajtásra. A klasszikus értelemben vett FPGA, mint a neve is mutatja "egyszerű" kapu tömb. Ebben a vonatkozásban inkább hasonlít CPLD-re, mint processzorra, ennek ellenére szerintem én nem kevertem az FPGA-t és a CPLD-t, ha mégis így lenne, akkor elnézést érte.
Azért reagáltam a korábbi hsz-edre, mert túl általánosnak találtam (mint ahogy a legutóbbit is).
És természetesen én is belinkelt oldalon találtam a 100MHz-t, a QL8x12B-re vonatkozóan.
(#) Gory válasza iamrob hozzászólására (») Szept 3, 2006 /
 
Akkor jó mert egy általános gondolatnak szántam.
Nem flame-elek tovább, inkább valami hasznosat írok. A chipcad-nél elvileg van akció Spartan starter kit-re. Nemtudom még tart-e de egész barátságos ára volt. Az a helyzet hogy szerintem nehéz otthon egy ilyen 250 vagy töb lábú procit beforrasztani meg működésre bírni (gyári nyákot kell csináltatni stb), ezért egy nagy teljesítményű szkóp fejlesztése elég sok beruházást igényel. Persze ha valaki mondjuk az egyetemen hozzá tud férni ilyesmihez és nem saját költségből kell megoldani, akkor lehet értelme nekikezdeni.
(#) iamrob válasza Gory hozzászólására (») Szept 3, 2006 /
 

Sajnos vége az akciónak, de amúgy sem volt valami nagy, mert a legdrágább is valami 2e Ft-tal volt olcsóbb, mint a katalógus ár.
Én egyébként megvettem a legkisebbet, a nagyokat úgysem tudnám kihasználni, és egyébként sem tetszik, hogy nulla kódvédelem van a SRAM-os Xilinx FPGA-kon.
(#) bbatka válasza iamrob hozzászólására (») Szept 3, 2006 /
 
Kezdem kitapasztali a VB grafikai lehetőségeit. Mentett fájlból beolvasva kirajzoltatás.
(#) bbatka válasza bbatka hozzászólására (») Szept 3, 2006 /
 
Az áramkör zaja.

zaj.gif
    
(#) bbatka válasza bbatka hozzászólására (») Szept 3, 2006 /
 
1khz szinusz.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Szept 3, 2006 /
 
Jól haladsz.
Én most fejeztem be az analóg rész kapcsolásirajzát. Remélem még a héten be tudom fejezni a NYÁK-tervet is. Aztán jöhetnek a próbák.
A rajzon igaz nincs feltüntetve, de max477-es műveleti erősítők vannak benne.
Én maradok az eredeti logikai IC-s elgondolásban. Talán egyszer megépítem FPGA-val vagy CPLD-vel vagy nemtom mivel. Csak abba is bele kell tanulni rendesen....

analog.gif
    
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Szept 4, 2006 /
 
Legalább lenne valami értelmes leírás róluk. Adatlapokkal egyelőre nemsokra megyek. Legalább az alapokat ismerni kellene.
Az én következő szkópom sem FPGA v. CPLD-s lesz. Már megvan minden alkatrész hozzá.
(#) dpeti válasza bbatka hozzászólására (») Szept 4, 2006 /
 
rengeteg leírás van róluk:
Keress rá a VHDL, Verilog, ABEL és az FPGA design CPLD, IP Core, Core generator stb kulacsszavakra és ömleni fog. De pl. ajánlom az "interactive vhdl tutorial"-t a googlebe
Pl ha Xilinx cuccost szeretnél használni, akkor leszeded az ISE webpackot. abban minden benne van. Esetleg lekapod a ModelSIM XE megfelelő verzójának demóját azzal tudod szimulálni a xilinx fpgas műved.

Na most egy adott hw leíró nyelvben megtervezed amit akarsz, ugye vannak benne logikai változók: na ezeket egy megfelelő file hozzáadásával hozzárendelheted az FPGA lábaihoz és kész. Legenerálod, megnézed az időzítéseket, szimulálod stb stb
(#) bbatka válasza dpeti hozzászólására (») Szept 4, 2006 /
 
Köszi. Keresgélek. Azthiszem a CPLD-vel kezdem.
(#) bbatka válasza bbatka hozzászólására (») Szept 8, 2006 /
 
Ha már így eltüntetek akkor ápolom én ezt a fórumot. Szóval támad egy kis problémám. Mi a véleményetek a képen látható periodikusan ismétlődő hibáról ? Az első tüske 259 mérési pontnál jelenik meg. Aztán imétlődik kb. 255 mérési pontonként. Frekvencia független. AD átalakítási hibára gyanakszom első sorban, ami a címzéssel lehet kapcsolatba.

hiba.gif
    
(#) bbatka válasza bbatka hozzászólására (») Szept 8, 2006 /
 
Egyébként ügy tünik a TL 071 megfelel a hangfrekis tartományban. Az alaplapi hangcsippem kb. 18 Khz -ig viszi át a jelet. 18khz-es szinusz amplitudója megközelítőleg egyforma az 1khz-esével. A háromszögjel képzésnél viszont megbukott a hangcsip.
(#) bbatka válasza bbatka hozzászólására (») Szept 8, 2006 /
 
Ráadásul úgy tünik a hiba tüskék irányítottak. Ha a jel felfelé mozdul el akkor a tüskék is illetve ha lefelé akkor a tüskék is.
(#) Gory válasza bbatka hozzászólására (») Szept 8, 2006 /
 
Szerintem azért jelentkezhet ez a hiba, mert ott kicsit kiesik a mintavételezés a fázisból, és picit később vesz mintát mint addig, vagy egy két mintavétel kimarad, majd folytatódik rendesen. Ez nem tudom miből adódik, gondolom ahhoz van köze hogy 8 bites regiszter túlcsordulm és ott valami történik.
(#) bbatka válasza Gory hozzászólására (») Szept 8, 2006 /
 
Én is erre gyanakszom. Holnap délután újra végigszimulálom a PIC progiját. A mellékelt mérés is elég érdekes. 8Veff , hálózatról letranszformált kötöttem rá 1/10 arányba leosztva. Az hogy ilyen ragyás az biztos igaz. Azt viszont nem értem miért tolta el egyenszinttel és a csúcsok vágottak. Valószínűleg a bemeneti kondihoz lesz köze. Én meg már azthittem kész a hardware.
(#) bbatka válasza bbatka hozzászólására (») Szept 8, 2006 /
 
A 10V-os határnál úgy tünik rosszul forrasztottam be a kapcsolót azért lett ilyen ez az utóbbi mérés.
(#) bbatka válasza bbatka hozzászólására (») Szept 9, 2006 /
 
Tegnap óta a következő dolgokra jöttem rá.
- Az egyenfeszültségű eltolás azért következik be a 10V-os méréshatárnál mert a bemeneti osztó is hatással van az offset beállításra. Nem túl szerencsés megoldás de egy 22µF-os kondit tettem be a bemeneti osztó és a műveleti erősítő 3-as lába közé. Még nemtudom hogy ez milyen hatással lesz a négyszög alakú jelekre. Úgyanazokkal a jelekkel elvégeztem a mérést és kb.10%-os a jelszint csökkenése. Ez utólagos erősítés növeléssel beállítható majd. A jelek alakja semmit nem változott.

- Úgyanezeket a jeleket megnéztem a Scope Card 220-as szkópommal is és teljesen úgyanazt láttam.

- A trafóról levett 8Veff jelet szintén megvizsgáltam a Scope Card 220-as szkópommal és pontosan úgyanazt a deformálódott trapézjelet láttam szinusz helyett.

A jelek értéke Scope Card 220-al mérve a következő:

1kHz háromszög (trapéz) 100mVcs-cs
10kHz szinusz 135mVcs-cs
1kHz szinusz 141mVcs-cs
20Hz szinusz 43mVcs-cs
30Hz szinusz 205mVcs-cs
50Hz szinusz 170mVcs-cs
Ezeket csak viszonyítási alapúl tettem fel.

-Teljesen felesleges a kisebb néhányszor 10Hz jeleket 10000 mérési ponttal ábrázolni. Nagyon randán néznek ki. A szoftverben lesz lehetőség ritkítani a mérési pontok számát.
(#) bbatka válasza bbatka hozzászólására (») Szept 11, 2006 /
 
MaSTeRFoXX ! Vettem én is TN160-as kémiai ónozót. Meddig érdemes benne hagyni a panelt ?

A másik dolog meg hogy éppen azzal kínlódok hogy az egyszerűsített Szkópomra bemenetére jutó zajfeszültséget valahogy lecsökkentsem. Addig nem is volt gond míg az offset poti 22kohmos volt, de megnöveltem 2,2Mohmra. Mivel ezzel megnőt a műveleti erősítő bemeneti ellenállása megnőt az érzékenysége is. És nemcsak a hasznosjelre sajnos. Tegnap már a bemeneti vezeték és a kapcsolóhoz menő vezetékek rövidítésével elég jól sikerült lecsökkenteni a bemenetre kerülő zajt. Most árnyékolt vezetékre cseréltem le a sima egyszálas vezeték darabokat. Az eredmény sokkal több zaj. Úgyhogy visszaforrasztom a régi vezetéket. Holnap dobozba kerül a szkóp és valószínű hogy az analóg részt horganyzott lemezből készült dobozkával még ezen felül is leárnyékolom.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Szept 11, 2006 /
 
Én egy jó 5 percig hagytam csak benne a panelt...Nagyon kevés időm van most az iskola mellett a szkópra, be kéne fejeznem már a nyák-tervet. Ma jött meg a másik csatornához is a max477
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Szept 11, 2006 /
 
Gondoltam hogy a suli leköt. Legalább az én hibáimból tudsz majd tanulni. Az analóg rész a zaj miatt rázósabb mint gondoltam. Igazán SMD-vel lehet labdába rúgni ezen a téren. Minél rövidebb vezetékek és kisebb alkatrészek + az egészet még leárnyékolni külön a digitális résztől.
(#) Norberto válasza bbatka hozzászólására (») Szept 11, 2006 /
 
Tervezd meg az egészet SMD-ben, én szívesen adok hozzá alkatrészeket, ha van

Írj egy anyaglistát is
(#) bbatka válasza Norberto hozzászólására (») Szept 11, 2006 /
 
Köszi az ajánlatot. Az a baj hogy az áramkör kész. Holnap bedobozolom, aztán még külön leárnyékolom. Ha ez sem segít akkor lényegesen lecsökkentem a bemeneti ellenállását. 40Khz-ig a 220kohmos bemeneti ellenállás is több mint elég.
(#) gtk válasza Tomee hozzászólására (») Szept 14, 2006 /
 

Megkerlek irjatok nehany SX tipus azonositot hogy legyen amin elinduljak,google -ben nem jartam sikerrel,szet akarok nezni hogy Linux ala csinaltak mar valami eszkozt hozza.

Koszonom.
(#) Tomee válasza gtk hozzászólására (») Szept 14, 2006 /
 
Nézd meg a chipcad árlistát.
Ott van néhány sx (Parallax) mikrovezérlő.
-= link =-
Következő: »»   24 / 118
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem