Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   22 / 49
(#) lehell hozzászólása Jan 4, 2011 /
 
Hello kosszz Carlen a segitseget most mar egyre jobban kezdek bele jonni csak sajnos megakadtam s nem tudom kitalalni h hol rontottam el. Akartam kesziteni egy rajzot ami szamolja az idot es annak fugvenyeben gyul ki vagy alszik ki a led de nem tudom h mi van de a C lednel elakad es nem akarja folytatni. a surrend meg ugy lenne h A,B,C,B,A,B,stb es a C nel pedig a szamlalo csak szamol es nem inditja be a Bt es sehogy sem kapom a hibat.A bal oldali szamlalo fuggvenyeben kezd vagy alnak le a szamlalok 00 az A, 10 a B stb.Tudnal segiteni?A rajzot mellekeltem.

Doc1.doc
    
(#) Carlen válasza lehell hozzászólására (») Jan 4, 2011 /
 
Hát bele néztem, de én már ezt is inkább Verilogban programoznám meg. Sajnos eddig csak a bináris számláló sikert, Knight Rider futófényt még nem.
(#) watt válasza Carlen hozzászólására (») Jan 4, 2011 /
 
Pedig az csak egy oda, vissza számláló, vezérelt iránnyal. Persze könnyen beszélek, én sem írtam még le ilyet soha, de azt hiszem sikerülne. Esetleg tárgyaljuk ki itt, úgy sincs szó itt programozásról, legalább is elvétve. Sajnos a hozzáértőbbek is eltűntek, mint a kámfor, nincs kitől kérdezni...
Az kicsit elüt, hogy én VHDL, te Verilog, de szerintem nincs akkora eltérés a két nyelv között ilyen szinten, hogy ne lehetne megérteni.

Ha 10 LED lenne a Rider, akkor kéne egy bináris előre-hátra számláló 0..9-ig. A számláló értéke vezérelné a LED-eket(10bites Out, Select Case kiválasztás számláló értékétől függően. Végül is egy Decoder/Demultiplexer 4bitről 10 lábra).
A számláló értéke választaná ki az irányt, azaz ha 9 akkor vissza, 0 akkor előre(if feltétel, irány signal beállítasa, számláló ettől függően órajelenként +1, vagy -1). (3 után tudok ISE elé ülni, legaláb lesz egy téma, amin elindulok, eddig csak olvastam, de annyit, hogy már a fülemen jön ki! )...
(#) watt válasza watt hozzászólására (») Jan 4, 2011 /
 
Összeszenvedtem. Biztosan tele van hibákkal, átgondolatlanságokkal, de szimulátorban működik, igaz, hogy a RESET egy kicsit furára sikeredett!

Arra nem jötem rá, hogy hogyan lehet kezdő értékeket adni a signaloknak, illetve még van egy csomó fekete folt.
Minden építő kritikát elfogadok! Köszönöm!

  1. ----------------------------------------------------------------------------------
  2. library IEEE;
  3. use IEEE.STD_LOGIC_1164.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6. -- Uncomment the following library declaration if using
  7. -- arithmetic functions with Signed or Unsigned values
  8. --use IEEE.NUMERIC_STD.ALL;
  9.  
  10. -- Uncomment the following library declaration if instantiating
  11. -- any Xilinx primitives in this code.
  12. --library UNISIM;
  13. --use UNISIM.VComponents.all;
  14.  
  15. entity KnightRiderFutofeny is
  16.     Port ( pCLK : in  STD_LOGIC;
  17.                           pRESET        : in STD_LOGIC;
  18.            pLED0_9 : out  STD_LOGIC_VECTOR (9 downto 0));
  19. end KnightRiderFutofeny;
  20.  
  21. architecture Behavioral of KnightRiderFutofeny is
  22.  
  23.         signal sLED0_9 : STD_LOGIC_VECTOR (9 downto 0);
  24.         signal sDir, sRESET     : STD_LOGIC;
  25.         signal sCount10 : STD_LOGIC_VECTOR (3 downto 0);       
  26.  
  27. begin
  28.         pLED0_9 <= sLED0_9;
  29.         sRESET <= pRESET;
  30.  
  31.         --ClockDeMux :
  32.         process(pCLK, sRESET, sCOUNT10, sDIR)
  33.         begin
  34.                 if (sRESET = '1') then
  35.                          sCount10 <= "0000";
  36.                          sDIR <= '0';
  37.                 elsif (pCLK' event and pCLK = '1') then
  38.                                 if sDIR = '1' and sCount10 > 0 then
  39.                                         sCount10 <= sCount10 - 1;
  40.                                 elsif sCount10 < 9 then
  41.                                         sCount10 <= sCount10 + 1;
  42.                                 end if;
  43.                                 if sCount10 = "0000" then
  44.                                         sDir <= '0';    -- előre számláló
  45.                                 elsif sCount10 = "1001" then -- 9-et eléri, irányt vált
  46.                                         sDir <= '1';
  47.                                         sCount10 <= sCount10 - 1;
  48.                                 end if;
  49.                
  50.                                 case sCount10  is
  51.                                         when "0000" => sLED0_9 <= "0000000001";
  52.                                         when "0001" => sLED0_9 <= "0000000010";
  53.                                         when "0010" => sLED0_9 <= "0000000100";
  54.                                         when "0011" => sLED0_9 <= "0000001000";
  55.                                         when "0100" => sLED0_9 <= "0000010000";
  56.                                         when "0101" => sLED0_9 <= "0000100000";
  57.                                         when "0110" => sLED0_9 <= "0001000000";
  58.                                         when "0111" => sLED0_9 <= "0010000000";
  59.                                         when "1000" => sLED0_9 <= "0100000000";
  60.                                         when "1001" => sLED0_9 <= "1000000000";
  61.                                         when others => sLED0_9 <= "0000000000";                
  62.                                 end case;
  63.                 end if;
  64.         end process;   
  65. end Behavioral;
(#) watt válasza watt hozzászólására (») Jan 5, 2011 /
 
Ma megnéztem a rajzot, amit a program generált és az elfoglalt alkatrészek arányát. Hát eléggé rosszul lettem a látványtól. Ezért megpróbáltam másképpen leírni a feladatot. Ez lett belőle:

  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  4.  
  5. -- Uncomment the following library declaration if using
  6. -- arithmetic functions with Signed or Unsigned values
  7. --use IEEE.NUMERIC_STD.ALL;
  8.  
  9. -- Uncomment the following library declaration if instantiating
  10. -- any Xilinx primitives in this code.
  11. --library UNISIM;
  12. --use UNISIM.VComponents.all;
  13.  
  14. entity KnightRiderFutofeny is
  15.     Port ( pCLK : in  STD_LOGIC;
  16.                           pRESET        : in STD_LOGIC;
  17.            pLED0_9 : out  STD_LOGIC_VECTOR (9 downto 0));
  18. end KnightRiderFutofeny;
  19.  
  20. architecture Behavioral of KnightRiderFutofeny is
  21.  
  22.         signal sLED0_9 : STD_LOGIC_VECTOR (9 downto 0);
  23.         signal sDir, sRESET     : STD_LOGIC;
  24.  
  25. begin
  26.         pLED0_9 <= sLED0_9;
  27.         sRESET <= pRESET;
  28.  
  29.         --ClockDeMux :
  30.         process(pCLK, sRESET, sDIR)
  31.         begin
  32.                 if (sRESET = '1') then
  33.                          sDir <= '0';
  34.                          sLED0_9 <=     "0000000001";
  35.                 elsif (pCLK' event and pCLK = '1') then
  36.                                 if sDir = '0' then
  37.                                         case sLED0_9  is
  38.                                                 when "0000000001" => sLED0_9 <= "0000000010";
  39.                                                 when "0000000010" => sLED0_9 <= "0000000100";
  40.                                                 when "0000000100" => sLED0_9 <= "0000001000";
  41.                                                 when "0000001000" => sLED0_9 <= "0000010000";
  42.                                                 when "0000010000" => sLED0_9 <= "0000100000";
  43.                                                 when "0000100000" => sLED0_9 <= "0001000000";
  44.                                                 when "0001000000" => sLED0_9 <= "0010000000";
  45.                                                 when "0010000000" => sLED0_9 <= "0100000000";
  46.                                                 when others => sLED0_9 <= "0000000000";                
  47.                                         end case;
  48.                                         if sLED0_9 = "0100000000" then
  49.                                                 sDir <= '1';
  50.                                                 sLED0_9 <=      "1000000000";
  51.                                         end if;
  52.                                 end if;        
  53.                                 if sDir = '1' then
  54.                                         case sLED0_9  is
  55.                                                 when "1000000000" => sLED0_9 <= "0100000000";
  56.                                                 when "0100000000" => sLED0_9 <= "0010000000";
  57.                                                 when "0010000000" => sLED0_9 <= "0001000000";
  58.                                                 when "0001000000" => sLED0_9 <= "0000100000";
  59.                                                 when "0000100000" => sLED0_9 <= "0000010000";
  60.                                                 when "0000010000" => sLED0_9 <= "0000001000";
  61.                                                 when "0000001000" => sLED0_9 <= "0000000100";
  62.                                                 when "0000000100" => sLED0_9 <= "0000000010";
  63.                                                 when others => sLED0_9 <= "0000000000";                
  64.                                         end case;
  65.                                         if sLED0_9 = "0000000010" then
  66.                                                 sDir <= '0';
  67.                                                 sLED0_9 <=      "0000000001";
  68.                                         end if;
  69.                                 end if;                                        
  70.                 end if;
  71.         end process;   
  72. end Behavioral;

A szimulációt csatoltam és a kapott rajzot is, valamint a korábbi rajzát is.

Bár ez csak egy kezdő kósza próbálkozása, még is kiderül, hogy nagyon nem mindegy, hogy miképpen van valami leírva. Az okokat sejtem, mint béka az esőt, de még használni nem tudom a mögötte rejlő tudást, mert még nem tudtam megfogalmazni, hogy hogyan is kell jól megközelíteni egy feladatot. Jól jönne egy kis szakértői vélemény! :worship:
(#) Carlen válasza watt hozzászólására (») Jan 5, 2011 /
 
Az szép, gratulálok! Én Verilogban ezt nem tudtam leprogramozni, amit te küldtél, azt meg nem értem, a VHDL nekem kínai.
A lényeg, hogy működik. Amúgy ez kicsit off, de pár napja szerencsétlenkedek egy 128x64-es GLCD vezérléssel PIC ASM-ből, de egyenlőre Istenért sem akar megindulni. Nem tudom mi a baj, pedig elvileg minden jó.
(#) eltexto válasza watt hozzászólására (») Jan 5, 2011 /
 
Hali!

Azért lehet kicsit egyszerűbben. Csináld shifteléssel.
Jobbra:
sLED0_9 <= '0' & sLED0_9(7 downto 1);

Balra:
sLED0_9 <= sLED0_9(6 downto 0) & '0';

Aztán csak a két szélső ledet kell figyelni és ha 1, irányt váltani.

Üdv. Zoli>>
(#) watt válasza eltexto hozzászólására (») Jan 5, 2011 /
 
Köszi, nem ismertem a shiftelés módját.

Esetleg abban tudsz segíteni, hogy hogyan szoktak előállítani vezérlő jeleket? pl. Várni kell 2millió órajelet, majd egy vezeték 50-ig 0 , 500-ig 1 ezt 300*, aztán előről... A rendszer órajelet lehet osztani a Coolrunner2 CPLG-ben valahogy, de nem tudom hogy...
(#) eltexto válasza watt hozzászólására (») Jan 5, 2011 /
 
Milyen vezérlő jeleket akarsz ? A KR léptetetést akarod lelassítani ? CR2-m van nekem is, de én nem használtam az osztót. Itt írnak róla: Bővebben: Link

ÜDv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 5, 2011 /
 
Nem, dehogy, egy TFT vezérlő jelét kéne előállítani körülbelül a jelzett órajelszámoknál(úgy, hogy ne menjen rá a XC2C128 fele ).

Kipróbáltam a shiftes példát, de úgy tűnik sokkal nagyobb áramkört generált. Pedig azt hinném, hogy ez egy tök egyszerű áramkör, ha lerajzolom. Mit kéne másképp?
  1. process(pCLK, sRESET, sDIR)
  2.         begin
  3.                 if (sRESET = '1') then
  4.                          sDir <= '0';
  5.                          sLED0_9 <=     "0000000001";
  6.                 elsif (pCLK' event and pCLK = '1') then
  7.                 if sDir = '0' then
  8.                         if sLED0_9 = "1000000000" then
  9.                                 sDir <= '1';
  10.                                 sLED0_9 <= '0' & sLED0_9(9 downto 1);
  11.                         else
  12.                                 sLED0_9 <= sLED0_9(8 downto 0) & '0';           -- balra                       
  13.                         end if;
  14.                 end if;        
  15.                 if sDir = '1' then
  16.                         if sLED0_9 = "0000000001" then
  17.                                 sDir <= '0';
  18.                                 sLED0_9 <= sLED0_9(8 downto 0) & '0';           -- balra       
  19.                         else
  20.                                 sLED0_9 <= '0' & sLED0_9(9 downto 1);
  21.                         end if;
  22.                 end if;                                        
  23.         end if;
  24.         end process;   
  25. end Behavioral;


A linket nézem, köszi!
(#) eltexto válasza eltexto hozzászólására (») Jan 5, 2011 /
 
Idézet:
„Jobbra: sLED0_9 <= '0' & sLED0_9(7 downto 1); Balra: sLED0_9 <= sLED0_9(6 downto 0) & '0';”


Ez bitszélesség helyesen:

Jobbra: sLED0_9 <= '0' & sLED0_9(9 downto 1)
Balra: sLED0_9 <= sLED0_9(8 downto 0) & '0';

8 bitben gondolkodtam.

Üdv. Zoli
(#) eltexto válasza watt hozzászólására (») Jan 5, 2011 /
 
Esetleg if sLED0_9(9) = '0' , de szerintem lehet nem lesz kisebb, mert úgyis kioptimalizálta.

TFT vezérlő jeleket counterek nélkül nem úszod meg.

Üdv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 5, 2011 /
 
Igen a bitszélességre rájöttem.

Idézet:
„if sLED0_9(9) = '0'”

Ezt próbáltam először, valami baja van vele. ??
ui. Megvan, macskakörmök közé tettem véletlenül a bit értékét ("1" a '1' helyett!

A counterekkel nincs is sok bajom, csak nekem fura, hogy mekkora helyet tudnak elfoglalni, főleg ha bizonyos időpontokban akarok valamit csinálni azaz komparátorokat is betesz. Arra már rájöttem, hogy jobb több kisebb számlálót egymás mögé fűzni, mint egy nagyobbon végigellenőriztetni a kritikus pontokat, mert a nagy komparátorok pazarlóbbak, mint a sok kicsi. De valóban nem úszom meg, és talán azt sem, hogy nagyobb eszközt kell vegyek, mert nem fog belemenni... Köszi az eddigieket, számítanék még rád!

Rögtön kérdezék is, mert nem tudom beinstallálni a ClockDividert, nem teljes a példa a pdf-ben(és apélda link nem működik) és nem értem a csatalkozási pontok felhasználását sem igazán. Esetleg egy példát tudsz adni?
(#) watt válasza watt hozzászólására (») Jan 5, 2011 /
 
Megnéztem a technológiai rajzot, a v3-assal majdnem egyforma felépítésű, így végül is hasonló áramkört épített. Ennél jobban nem hiszem, hogy le lehetne egyszerűsíteni...
(#) eltexto válasza watt hozzászólására (») Jan 5, 2011 /
 
Esetleg ez nem eredményez kisebb kódot ?

  1. architecture Behavioral of KnightRiderFutofeny is
  2.  
  3.   component CLK_DIV16 is
  4.   port
  5.   (
  6.     CLKIN : in STD_LOGIC;
  7.     CLKDV : out STD_LOGIC
  8.   );
  9.   end component;
  10.  
  11.         signal sLED0_9          : STD_LOGIC_VECTOR (9 downto 0);
  12.         signal sRESET           : STD_LOGIC;
  13.         signal clk_div_by_16    : STD_LOGIC;
  14. begin
  15.         pLED0_9 <= sLED0_9;
  16.         sRESET <= pRESET;
  17.  
  18.  
  19.   U1: CLK_DIV16
  20.   port map
  21.   (
  22.     CLKIN => pCLK,
  23.     CLKDV => clk_div_by_16
  24.   );
  25.  
  26.   process(clk_div_by_16, sRESET, sDIR)
  27.   variable sDir   : STD_LOGIC;
  28.   begin
  29.     if (sRESET = '1') then
  30.       sDir := '0';
  31.       sLED0_9 <=     "0000000001";
  32.     elsif (clk_div_by_16' event and clk_div_by_16 = '1') then
  33.      if sDir = '0' then
  34.        if sLED0_9(9) = '1' then
  35.          sDir := '1';
  36.        end if;
  37.      elsif sLED0_9(0) = '1' then
  38.        sDir := '0';
  39.      end if;
  40.      if sDir = '0' then
  41.        sLED0_9 <= sLED0_9(8 downto 0) & '0';      -- balra  
  42.      else
  43.        sLED0_9 <= '0' & sLED0_9(9 downto 1);
  44.      end if;
  45.    end if;
  46.  end process;    
  47. end Behavioral;


Ebbe benne van az osztó bedrótozása is a pdf alapján.
Hiba lehet benne, mert nagyon éhes vagyok, nem vacsoráztam még .

Üdv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 5, 2011 /
 
Köszi! Csak holnap délután nézem meg, mert aludni kéne! Jó éjt!
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
Szia!
Kipróbáltam, köszönöm!
Két dolog van:
a.
A Clock Counter, figyelmeztetéssel fordul le, és a szimulációban nem tudja felhasználni a forrást, nem számlál. Az üzenet a következő:
Instantiating black box module

b. Lefordítottam a CLK_DIV16 nélkül. Látszólag egyszerűbb a rajz de a használt Pterms terület nagyobb(36(9%), vs. 19(4%)). Bevallom nem tudom, hogy ez a terület micsoda, de ha fogy, az nem jót jelent egyrészről. Másrészről sebesség tesztet nem tudtam még szimulálni, lehet, hogy a te verziód, vagy egy másik a sok közül gyorsabb lenne, és akkor megérné erőforrást fordítani rá bizonyos esetekben, ahol ez fontos. (Itt ugye nem a futófény a fő kérdés, hanem az elmélet.)

A variable- vel kapcsolatban eddig azt hittem, hogy az ilyen módon deklarált változó, szemben a signallal, a Registers-ben kap helyet, ezzel szemben a te verziódban, ahol az sDIR variable, ugyanannyi regiszter foglalódott le, mint a többi verzióban, ahol nincs variable. Eddig azért próbáltam kerülni a használatát(annak ellenére, hogy az még a processen belül kiértékelődik), mert gondoltam jobb ha azt fontosabb dolgokra tartogatom, de látom nem ilyen egyérteműek az összefüggések a fordítás során! Az se tiszta, hogy egyáltalán van-e igazi regiszter egy CPLD-ben, illetve amit számol, az valóságos, vagy hogy kell érteni? (Csatoltam egy képet az összegzésről, az itt látható területeket kérdezem).
(#) eltexto válasza watt hozzászólására (») Jan 6, 2011 /
 
Hali!

a.,

  1. -- Uncomment the following library declaration if instantiating
  2. -- any Xilinx primitives in this code.
  3. library UNISIM;
  4. use UNISIM.VComponents.all;


A megjegyzés szerint kell eljárni. Én is belefutottam .

b.,
Azt már én is észrevettem, hogy nem mindig az egyszerübb felirás a kisebb erőforrás igényű. Esete válogatja.


A változó még nekem sem tiszta teljesen, annyi jött le, hogy olyan mint a C-ben a lokal változó és csak a processz végén lesz regiszter belőle. Nálam ott az sDir regiszter FDC az RTL sémában. Próbáld ki ezt a kódot úgy, hogy az sDir-t kiteszed signalnak ( értékadásokat át kell írni <= -ra) és nézd meg a szimulációt.

Üdv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
Kivettem a kommentet a két sor elől, de a hiba ugyanaz, az U1 : CLK_DIV16 sorra mutat a warning. Neked lefordul?

Még nem szimuláltam le signal sDir-el, de úgy sejtem, hogy el fog tolódni a "LED" lépése, mert a signal csak a process végén fog értéket váltani(csak a következő órajelnél veszi észre a process if ága), szemben ha variable, mert akkor azonnal, így az ilyen feltételeknél jobb variable-t használni.
(#) gtk válasza watt hozzászólására (») Jan 6, 2011 /
 
Nem kell oda valami "header" ? (use library akarmi)
(#) eltexto válasza watt hozzászólására (») Jan 6, 2011 /
 
Warning nálam is van, az nem gond. Nálam szimulálni is lehet. Na, látom érted a variable signal különbséget.

Nem tudom ezt az anyagot ismered e ?

Bővebben: Link

Egyébként megnéztem egy régi tft cuccosomat (első lépések voltak) 256 MC-ás CR2-vel csináltam, MC 57%, PTERM 46%, REGS 50%, FBI 65% és ebben benne volt a kijelző HW-es törlése is (320x272).

Üdv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
Köszönöm a doksit, ezt nem ismertem!

Igen én is úgy érzem, hogy a 128-asba nem fog beleférni, de hát ez van. Kisebb dologra jó lesz, csak kár, hogy amikor rendeltem nem 256-ost vettem. Akkor még nem tudtam, hogy mekkora kódokat generál egy aránylag egyszerűnek tűnő forrásból. Még arra kíváncsi lennék, ha schematic-be megrajzolnám ugyanazt, akkor is ekkora lenne? Na majd kipróbálom a KRF-el!

A szimuláció nálam a pCLK-t hozza fel és a clk_div_by_16 meg sem jelenik, de még próbálkozom!
(#) eltexto válasza watt hozzászólására (») Jan 6, 2011 /
 
Ha zavar a blackbox warning, egy kis info:

Bővebben: Link

Üdv. Zoli
(#) eltexto válasza watt hozzászólására (») Jan 6, 2011 /
 
Mekkora kijelzőt akarsz kezelni vele? Adatlap van ?
Memória ? Mivel (uC?) és milyen interface-el akarod meghajtani ? Kicsit bővebben ?

Üdv. Zoli
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
Na ezt még értelmeznem kell, de köszi a linket!

Közben sikerült szimulálni, és az RTL rajzon is megjelent az osztó.
A szimulációkor a pCLK jelenik meg, de pOut1 lábon látható az osztó hatása(minden 16. órajelnél vált), tehát működik. Az volt a tévedésem, hogy azt hittem az órajelben látszik az osztás, de logikus, hogy az órajel az adott és kintről jön a lábról!
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
480x272, TFT 3x8bit RGB redukálva 332-re, 7..12MHz CLK. SRAM 10ns 512K, PIC (valamilyen 24, vagy 32-s család, de végül is egy izmosabb 18F is elvezérli, miután csak a RAM egyik területét kell feltöltenie a képváltás előtt. Csak rajzok és szöveg lesz megjelenítve, képek nem jellemzően. A textúrákat egy SD kártyán, vagy egy flash-en fogom tárolni. Van konkrét elképzelésem a vezérlésről, csak vasba kéne önteni! Nem sűrgős, szeretném közben megérteni a hardverleírás rejtelmeit is!
(#) watt hozzászólása Jan 6, 2011 /
 
Lehet, hogy naív kérdés, de úgy látom, hogy ez az órajel osztó nem hardveres, hanem csak egy előre definiált komponens, amilyet én is írhatnék?
Mert felhasznált egy makrocellát és egy regisztert(így könnyű az én kontómra! ).
Én meg azt hittem, van benne egy elkülönített osztó, amit ezzel lehet előhívni!
(#) eltexto válasza watt hozzászólására (») Jan 6, 2011 /
 
Ez a PSP kijelző ?

Üdv. Zoli
(#) watt válasza watt hozzászólására (») Jan 6, 2011 /
 
Upsz, nem szóltam! De lökött vagyok, hiszen nem az osztónak kellett a hely, hanem a fd tárolónak és a puffereknek! Akkor még is csak hardveres! (Illene az adatlapot átnéznem, de egyelóre nem volt hozzá még erőm, mert a PIC után nekem ez nagyon áttekinthetetlen és információ szegény...)
(#) watt válasza eltexto hozzászólására (») Jan 6, 2011 /
 
PSP? (google: aha!!)
Nem ez egy GPS kijelző.
Következő: »»   22 / 49
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem