Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   7 / 49
(#) dpeti válasza gtk hozzászólására (») Okt 26, 2007 /
 
szerintem elég mondanod a chipcadban, hogy a spartan 3e starter kithez kérsz 100 tűs HiRose csatlakozót

ha kell eaglehez library átküldöm
(#) gtk válasza dpeti hozzászólására (») Okt 26, 2007 /
 
Nem szemelyesen megyek, rendelem, ezert jo lett volna tudni a tipusat hogy az arat tudjam megnezni, hogy mi fer bele a koltsegvetesbe
A libraryt szivesen fogadom, Koszonom !
(#) dpeti válasza gtk hozzászólására (») Okt 26, 2007 /
 
kb 2-3 ezer huf... nem 'óccsó'
az árlistájukon nem fogod megtalálni

hirose-fx2-100p-1.27DS ez a pontos típusa
(bár most hirtelen nem tudom, hogy ez a mama vagy a papa)

---
csatolva a library, majd ellenőrizd használat előtt, mert lehet hogy az A és B sor fel van cserélve...

bár ha jól emlékszem úgy javítottam, hogy a schematicsban stimmelnek a feliratok, csak a nyákrajzon nem jó, de egyébként minden jó helyre lesz kötve...
(#) gtk válasza dpeti hozzászólására (») Okt 26, 2007 /
 
Koszi szepen
(#) Mikidal hozzászólása Okt 27, 2007 /
 
sziasztok,

Valaki meg tudna magyarazni mire hasznaljak a "generic" eket a VHDL ben??
Olvasam mar par pdf ben de meg mindig nem tiszta!

Koszi
(#) gtk hozzászólása Nov 11, 2007 /
 
Sziasztok !

Van valakinek tapasztalata Cyclone II es/vagy Quartus II Web Edition -el?
Erdekelne, hogy mennyivel felhasznalobaratibb/vagy nem ez a kornyezet mint az ISE WP, es ugyanannyi problema van ezzel is?
(#) dpeti válasza gtk hozzászólására (») Nov 11, 2007 /
 
mindegyiknél kell gondolkozni, ilyen szempontból egyik sem felhasználóbaráti...

mielőtt nekikezdesz bárminek is velük, érdemes pár tutorialt végignyomni (google milliónyit ad), szoktak bennük apróbb hibák lenni, de a legtöbb orvosolható, csak rá kell keresni az adott hibaüzenetre, és van esély rá, hogy megoldást találsz...

meg el kell felejteni a programozós beidegződéseket, mert FPGA-nál nem programozol, hanem hálózatot tervezel...

ahogy így elnézem elég hamar feladtad...
(#) gtk válasza dpeti hozzászólására (») Nov 11, 2007 /
 
Nem adtam fel! Dehogy.

Eddig 9572XL volt csak.
Multkor beszeltuk a SP3E-t, most meg lattam olcsobban Cyclone II -t (van AC97 rajta). Nem is az hogy olcsobb , hanem kivancsi vagyok a fejleszto-kornyezetre, mindkettot meg nem tudom egyelore megvenni; nem tudok donteni..Szerinted melyik a 'jobb'?
(#) dpeti válasza gtk hozzászólására (») Nov 12, 2007 /
 
a cyclone II-t hol láttad?
ránézek és mondom
(#) gtk válasza dpeti hozzászólására (») Nov 12, 2007 /
 
(#) dpeti válasza gtk hozzászólására (») Nov 13, 2007 /
 
és Mo-on lehet kapni?
csakmert amcsiból elég durva a postaköltség...

amúgy ez sem olcsóbb... ugyanúgy 150$ mint az s3e starter
(#) gtk válasza dpeti hozzászólására (») Nov 13, 2007 /
 
A postakoltseget meg en sem tudom mennyi..Mo-i forgalamazorol sem tudok.
De, nezegettem az Open core procikat, es pl az OpenRisc nem fer bele a spartan 3E-be, de a Cyclone II-be igen. (akar 2szeresen is ha jol ertettem) Ez nekem fontos lenne..
(#) dpeti válasza gtk hozzászólására (») Nov 13, 2007 /
 
szerintem elfér benne...
akinek nem fért, az bizonyára a szimulációhoz szükséges generic RAM-al próbáltam szintetizálni az egészet, ami természtesen az életben nem fog elférni egy fpga-ban sem, ahhoz hogy beleférjen át kell írni úgy, hogy a block ramokat használja...
(#) dpeti válasza gtk hozzászólására (») Nov 13, 2007 /
 
postaköltség: én egyszer akartam rendelni a digilenttől, és a több, mint 10e Huf-os postaköltség láttán megtorpantam és hagytam a fenébe az egészet...

külföldről csak akkor éri meg bármit is rendelni ha 5-10 ember összefog (és ugyanonnan kell nekik vmi)
(#) gtk hozzászólása Nov 13, 2007 /
 
Valaki meg tudna mondani hogy, hogy is van az alabbi:

Nezegettem az open risc hw tutorialt:

Xilinx FPGA-ra azt irja hogy minimum 3100 slices szukseges.
Altera -nal pedig minimum 8000 LEs szukseges.

Namost:
Spartan 3e u.g -ban az XC3S500E- nel azt irja hogy : Over 10,000 logic cels.

Altera Cyclone 2 dev.board.ref -ban pedig az EP2C20-nal azt irja: 18,752 LEs.

Az utobbinal mar latom hogy belefer az open risc, mert ua. a mertekegyseget hasznalja.
Xilinxnel a slices mit jelent? Ugyanaz mint az LEs? Es ez Logic cEls akar lenni?

Koszi.
(#) dpeti válasza gtk hozzászólására (») Nov 13, 2007 /
 
LE - Logic Element...
kvázi ugyanaz mint a Slice, csak mindkét gyártónál más a felépítése, ebből adódóan más az aoptimalizálási algoritmus is, és nem lehet összehasonlítani a kettőt az alapján, hogy mennyit foglal, mert az feladatspecifikus

Bővebben: Link
(#) gtk válasza dpeti hozzászólására (») Nov 13, 2007 /
 
Koszi szepen !
Megneztem Xilinxnel Logic Cells-t ir,
Alteranal Logic Elements-t.
(#) dpeti válasza gtk hozzászólására (») Nov 13, 2007 /
 
egyébként szerintem tökmindegy, a legtisztább az, ha fogod csinálsz belőle egy ISE projectet (RAM-ra figyelsz, csinálsz egyet mellé pl coregennel), szintetizálod, és megnézed hogy mennyi slice-ot használ...
(#) gtk válasza dpeti hozzászólására (») Nov 13, 2007 /
 
Igaz..
(#) pokot hozzászólása Nov 14, 2007 /
 
Az xc3s400-ban 3584 slice van, 3E-hez most nincs táblázatom, de hasonló sztem.Egyébként ez is lineárisan nő a cellaszámmal (érdekes módon )
(#) gtk hozzászólása Nov 14, 2007 /
 
Nezegettem a Altera Quartus II Subscription Edition licenszet, ebbol is a Linuxos verzio erdekelne, de nem egeszen ertem.
Annyit megertettem hogy lehet kerni licensz filet, de nem vilagos hogy fizetos vagy sem?
Ha valaki tudja hogy pontosan hogy mukodik legyszi irjon nehany sort rola.
(#) dpeti válasza gtk hozzászólására (») Nov 14, 2007 /
 
kapsz egy éves license-t, hogy ki tudd próbálni a Quartust meg a többit... utánna vagy megveszed, vagy veszel még egy starter kitet és megint egy évig használod...
(#) gtk válasza dpeti hozzászólására (») Nov 15, 2007 /
 
Hello !

Koszi
(tulbonyolitom mindig..2.6 kernel alatt allitolag az ISE sem megy egybol...de arra mar van megoldas,..ha ez sem megy, ami valoszinu, akkor ...)
(#) Rikfic hozzászólása Nov 16, 2007 /
 
Üdv Mindenkinek!

Segítséget szeretnék kérni: keresek egy PLA és PAL programozókészüléket és hozzá szoftvert. Konkrétan PLS100,PLS153,PAL16L8,PAL16R4,PAL16R6 típusokat szeretnék programozni. Az elkészített H&L és Jedec fájlokat kézzel, papíron akarom elkészíteni, majd beégetni az IC-be. Ehhez kellene valamilyen készülék és program.

Mivel hobbista vagyok, jó lenne ha minél olcsóbb lenne, de bármilyen ajánlást szivesen fogadok.

Tud valaki segíteni?
(#) gtk válasza dpeti hozzászólására (») Nov 18, 2007 /
 
Az open risc miatt mar spartan 3a -ra is gondoltam. Azert csak jo hogy alaposan atgondolom, mert szerencsere kozben rajottem: hiaba a 700k hely benne, ha a Web PACK le van korlatozva.
Alteraval kapcs meg egy magyar nyelvu mondatot sem talaltam a neten
(#) dpeti válasza gtk hozzászólására (») Nov 18, 2007 /
 
magyar mondatot xilinx-el sem nagyon fogsz...

ha ilyenekkel akarsz foglalkozni (egyáltalán villanymérnök akarsz lenni), akkor elkerülhetetlen, hogy megtanulj jól angolul...
(#) faferi válasza sgyuri hozzászólására (») Jan 13, 2008 /
 
Hali!
Most írok elősször ebben a témában. nekem már sikerült az Altiumot használni. Nagyon gyorsan fodít, lényegesen gyrsobb, mint az ISE. Viszont egy idő után teljesen megbolondul. De ez az ISE-nél is fennál.

Két helyről lehet fordítani. A Project ből és a simulatorból. Mi a különbség?

Üdv! Mindenkinek, mert uj vagyok
(#) gtk hozzászólása Jan 20, 2008 /
 
Sziasztok !

SP3E-nel nem tudom az USB-JTAG-ot mukodesre birni. Pedig mikor csatlakoztatom az USB kabelt , akkor a win felismeri es kiirja hogy Xilinx ...
XP 2002 verzio, es az iMPACT azt mondja SP1-el frissitsek.
Van ennek valami mas modja hogy mukodjon ? mert SP1 nem megy fel..

Koszi.
(#) dpeti válasza gtk hozzászólására (») Jan 20, 2008 /
 
SP1 miért nem megy fel? (szerintem mindenképpen kell hozzá)

---
más:
csináltam a Spartan3e starter kithez port bővítőt:
kivezeti az összes lábat a HiRose csatlakozóról, meg pakoltam rá külön JTAG headert, hogy lehessen programozóként is használni a Kit-et. (így nem kell párhuzamos port, ha pl CPLD-t akartok programozni, nameg 40 ezres Platform Cable USB sem )

Hány ember tartana rá igényt?
(#) gtk válasza dpeti hozzászólására (») Jan 21, 2008 /
 
Lathatunk kepet rola?
Engem mindenkeppen erdekelne, gyartasra gondoltal? mennyibe jonne ki?
Következő: »»   7 / 49
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem