Fórum témák

» Több friss téma
Fórum » Robotika kezdőknek
 
Témaindító: mspike, idő: Júl 18, 2005
Lapozás: OK   46 / 82
(#) sargarigo válasza nandi07 hozzászólására (») Jan 21, 2012 /
 
Ugye.

A kérdés valójában inkább az, hogy mit akarsz vele kezdeni? Ha csak egy költséges hobbi, akkor megfelelő óvintézkedések, és persze jó vastag pénztárca mellett simán le tudod magad foglalni vele jó pár évre.
Ha hasznot is vársz tőle, akkor már nem biztos érdemes belevágni egymagadnak. SZVSZ
(#) nandi07 válasza sargarigo hozzászólására (») Jan 21, 2012 /
 
A programot meg lehet írni szimulátorban (pl. Microsoft Robotics Studio, Webots). Utána csak ezt kell egy kicsit átalakítani, hogy a szenzoroktól érkező jelet fogja. Ez pedig nem kerül sokba (csak a számítógép áramszámla), csak az idő, mire kifejleszti az ember.
(#) kameleon2 válasza sargarigo hozzászólására (») Jan 21, 2012 /
 
Sziasztok! Csak elméleti jellegű a kérdésem. Az nagyon elvetemült elgondolás, ha nem mindentudóvá akarjuk programozni az önműködő autót, hanem egyszerűen megtanítjuk? Arra gondolok, az nem járható út, hogy egy sofőr vezeti ugyan az autót, de minden helyzetet, reakciót okosan és helytakarékosan letárolunk? Tudom, hogy adódnak váratlan helyzetek, de ebben az esetben, csak a vész funkciókat kellene programozni, ami jobban körülhatárolható, hiszen mindig az a vége, hogy meg kell állnia, vagy le kell lassítania a járműnek, mert akkor lehet kisebb a kár, ahogyan az előadásokban is szó volt erről.
(#) nandi07 válasza kameleon2 hozzászólására (») Jan 21, 2012 /
 
Ez nekem tetszik! A másik pedig lehet még az, hogy külön eljárásokba van programozva egy részlet (programozók tudják). Példaként: be van programozva az előremenet, a hátramenet és a jobbra-balra fordulás. Ezekből már egy előző vagy megforduló eljárást lehet csinálni. Aki nem programozik, annak példa a megfordulásra : A szenzor megméri a távolságot, hogy egyszerűen meg tud e fordulni. Ha nem, akkor lehúzódik az út jobb szélére (jobbra eljárás), majd onnan balra fordul, amíg az út széléig el nem ér (előre+balra eljárás). Innen hátramenetbe kapcsol és jobbra fordul (hátra+jobbra eljárás), majd innen előremegy, és balra fordul (előre+balra). É már meg is fordult! Az egész olyan lenne, mint egy digitális LEGO. Az eljárásokba lenne írva egy-egy rövid részlet, és az egész ezekből az alapokból épül fel. Ha bonyolult, volt, bocsánat.
(#) sargarigo válasza nandi07 hozzászólására (») Jan 21, 2012 /
 
Továbbra is azt gondolom, hogy egy eredeti méretű jármű leprogramozása nem annyiból áll, mint egy asztali lego roboté. A manőverezéshez nagyon pontosan tudni kell a jármű helyzetét! Nem egyformák az útviszonyok, lehet hogy poros az út, lehet hogy gödrös, neagyjisten jeges! Ez minden pillanatra más más reakciót kíván. Nem véletlenül tart még az embereknél is olyan sokáig míg megtanulunk autót vezetni! És az ember egy kipróbált, nagyon jól tanuló visszacsatolt rendszer! Ha tényleg ebbe az irányba akarsz elindulni, akkor szerintem ne azzal kezdd hogy "előre+balra", hanem a valós fizikai test tényleges modellezésével, valós körülmények között! Kamerás mefigyelés egy betonozott parkolóban. Tehát ülj be az autódba, és próbáld ki, hogy most satufék egyenes száraz úton 40-ről! Feljegyzed a fékutat, aztán 50-ről, 60-ról, stb. Most kanyarodás 10°-os kormánnyal, különböző sebességekkel! 20°, 30°, stb. Nem lesz arányos, mert ahogy gyorsul, úgy fog a kocsi oldalirányban "úszni". Téli gumi, nyári gumi, hőmérséklet függvényében, gyártó függvényében.. És még csak a gumikról beszéltem, pedig egy autó nem ennyiből áll!!!

Szerintem inkább egy vezetéssegítő rendszerben kellene először gondolkodni, és abból leszűrni tapasztalatokat, merre lehet/érdemes tovább menni.
(#) borvendeg hozzászólása Jan 28, 2012 /
 
Hello!
Probléma:
A robot egy irányszögtől való eltérését szeretném detektálni.
Erre alkalmasnak a giroszkópot találom. Jól gondolom, hogy ehhez elég lenne egy tengelyt figyelni? Elvileg a függőleges tengely elfordulása megadná a kívánt szöget. Másik kédés: ezek a modulok előjelezve adják meg az eltérést?
(#) boldivi válasza borvendeg hozzászólására (») Jan 28, 2012 /
 
Természetesen egy tengely elég az elfordulás meghatározásához. Ha pontosabb kell, akkor ki lehet (szerintem) egészíteni egy magnetométerrel (compass), hasonlóan, mint a billenésérzékelésnél.
Milyen modulra gondolsz?
(#) borvendeg válasza boldivi hozzászólására (») Jan 28, 2012 /
 
(#) sargarigo válasza borvendeg hozzászólására (») Jan 28, 2012 /
 
Amennyire tudom, ezek nem abszolút pontosságú cuccok, csak az aktuális pillanathoz tartozó elmozdulást érzékelik. Mivel a hibák pillanatról pillanatra összeadódnak, hosszú távon már értékelhetetlen lesz az eredmény ha nem kalibrálod. A napokban állok neki egy ilyennel játszadozni, addig csak belevau. Ha van rá lehetőség, akkor érdemes lehet megtámogatni a rendszert valami külső referenciával.

A giro beszerzéshez ajánlom Pici kollégát keresd meg privátban!
(#) boldivi válasza borvendeg hozzászólására (») Jan 28, 2012 /
 
Igen, idővel "elmászik". Ezért ajánlottam kiegészíteni egy "iránytű"-vel. A kettő jelét pedig egyesíteni úgy, ahogy az egyensúlyozó robotoknál a gyorsulásmérő+gyro jelét.
Mivel magnetométert még nem teszteltem, nem tudom mennyire zajos a jele. Érdemes lenne kipróbálnod, lehet önmagában is elég?
(#) sargarigo válasza boldivi hozzászólására (») Jan 29, 2012 /
 
Szerintem az iránytű meglehetősen pontatlan. Elég ha van valahol a közelben egy hangszóró, vagy egy izmosabb trafó, és már el is vitte. Szerintem. Abból indulok ki, hogy a hagyományos iránytűk is csak úgy "kb" állnak be irányba.
Amúgy engem is nagyon érdekelne erre a megoldás, mert kicsi méretek mellett egy autonóm rendszer navigálása nem egyszerű feladat. Ha megoldható, akkor szerintem még mindig egy "földi állomás" lehet a legjobb megoldás, ami folyamatosan ad valami jelet (infra, lézer, stb).
Persze ha sikerül olyat találni amihez nem kell külső segítség, az lenne a non plus ultra
(#) borvendeg válasza sargarigo hozzászólására (») Jan 29, 2012 /
 
Hello!
Az újrahitelesítés nem gond viszonylag sűrűn tudom újra kalibrálni.
(#) sargarigo válasza borvendeg hozzászólására (») Jan 29, 2012 /
 
Idézet:
„Az újrahitelesítés nem gond viszonylag sűrűn tudom újra kalibrálni.”


Akkor nincs mese: giro
(#) Axel hozzászólása Jan 31, 2012 /
 
Sziasztok!
Egy ilyen RF modul a mikrovezérlő UART Rx-re, egy ilyen meg az usb-uart konverter Tx-re és akkor wireless kommunikáció. Szerintetek működne? BT-uart modullal akarnám eredetileg de momentán ez a kombó a legkönnyebben beszerezhető és azonnal használható, nem kéne még nyákot se csinálni az idióta lábkiosztású BT modulhoz.
Köszi!
(#) szalaigergo hozzászólása Jan 31, 2012 /
 
Sziasztok!

Most raktam össze egy Conrados Pro-bot 128-at. Telepítettem az USB programozó kábelt, magát a C control programozó felületet. Rákötve a robotot a számítógépre, az IDE program látja is a C controlt, viszont a program a compile után nem tudja rátölteni egyik programot sem. Azt írja hogy: program not transferred after compilation. Valamint az output üzenetkenél a következő van: global variables RAM access failure at address 49977
Magán a roboton csak a zöld led világít.

Ha a C controlt felismeri a programozó felület, nem értem miért nem enged progot tölteni...
Bárki ötletét, javaslatát szívesen látom ahhoz, hogy miként deríthetem ki a hibát. Előre is köszönöm!
(#) L_Maqvus hozzászólása Feb 2, 2012 /
 
Sziasztok!

Nemtudom volt-e már kérdés de nagyon birizgálja a dolog a fülemet, hogy hogyan lehet megmondani egy micro szervóról hogy hány fokos a mozgástartománya? Mert ezt bizony nem találtam az adatlapján.

Ezt néztem:
Hitec HS-645

Ez 180 fokos szervó?
Üdv:
Z
(#) cirpo hozzászólása Feb 2, 2012 /
 
A processing-el küzdök éppen. A 2.0a3-as verzióval. Minden frankó csak a delay() parancsot nem ismeri fel. Rakjak fel egy régebbi verziót, vagy az arduino fejlesztő környezetet kéne feltelepíteni?
Vagy töltsem le a szoftverfrissítést?
Egy ideje már keresem neten hogy mit kéne csinálni de semmi.Ennyit találtam róla. Elvileg alapból benne kéne lennie a rendszerben.
(#) mikrofarad hozzászólása Feb 2, 2012 /
 
Üdv!Adott az alábbi kód:
  1. /*    WILL-I vezerlo program
  2.  
  3.               MOTOR1
  4.       - PB0 <------> 1B
  5.       - PD7 <------> 1A
  6.       - PB1 <------> 1E
  7.  
  8.               MOTOR2
  9.       - PD5 <------> 2A
  10.       - PD6 <------> 2B
  11.       - PB2 <------> 2E
  12. */
  13. //---------------------------------------------------------------------
  14.  
  15. #define F_CPU 1000000UL /* 1 MHz-es CPU orajel megadasa*/
  16.  
  17. #include <util/delay.h> // idozito, keslelteto rutinokat tart. fajl
  18. #include <avr/io.h>     //AVR konstansokat, beallitasokat tart. fájl
  19. #include <util/motor.h>       // motorvezerlo utasitasokat tart. fajl
  20.  
  21. int main (void)
  22. {
  23.  
  24.       PORTD |= _BV(PD2);      // PD2-es lab bemenet (nyomogomb)
  25.       PORTD |= _BV(PD3);      // PD3-as lab bemenet (jobb mikrokap.)
  26.       PORTD |= _BV(PD4);      // PD4-es lab bemenet (bal mikrokapcs.)
  27.  
  28.       while (PIND & _BV(PD2));      /* várakozó cilkus amíg PD2 erteke nem 0 (amig a gomb nincs lenyomva) */
  29.          
  30.             while (1)
  31.             {    
  32.                   if (be_d(3)==0) /*jobb oldali mikrokapcs. allapotanak vizsgalata (tortent-e utkozes) */
  33.                   {
  34.                         hatra(100);   //ha utkozest tortent hatra 0.5 s
  35.                         _delay_ms(1000);
  36.                         fordul_bal(50);   // fordulas balra 0.3 s
  37.                         _delay_ms(500);
  38.                   }
  39.                   else if (be_d(4)==0) /*bal oldali mikrokapcs. allapotanak vizsgalata (tortent-e utkozes) */
  40.                   {
  41.                         hatra(100);   //ha utkozes tortent hatra 0.5 s
  42.                         _delay_ms(1000);
  43.                         fordul_jobb(50);        //fordulas jobbra 0.3 s
  44.                         _delay_ms(500);
  45.                   }
  46.                   else
  47.                   {
  48.                         elore(100);       /* nincs utkozes! , teljes gozzel elore! */
  49.                   }
  50.  
  51.             }
  52. }
és hozzá a motor.h:
  1. #include <avr/io.h>
  2.  
  3. #define mind 3         // mindket motor
  4. #define Motor1A PD7     // Motor1A = PD7
  5. #define Motor1B PB0     // Motor1B = PB0
  6. #define Motor2A PD5     // Motor2A = PD5
  7. #define Motor2B PD6     // Motor2B = PD6
  8.  
  9. unsigned char _duty1=0,_duty2=0;         /* motor 1 es 2 sebesseget (PWM kitolsesi tenyezot) allito valtozo */
  10. char pwm_ini=0;
  11.  
  12. char be_b(char _bit)     // be_b(x) fuggveny definialasa PORTB-re
  13. {
  14.           DDRB &= ~(1<<_bit);     // PORTB x. lab bemenet
  15.           return ((PINB & _BV(_bit))>>_bit);     /* PORTB x. lab ertekenek beolvasasa (0 vagy 1)*/
  16. }
  17.  
  18. char be_c(char _bit)     // ugyan az mint az elozo fuggveny, de PORTC-re
  19. {
  20.           DDRC &= ~(1<<_bit);
  21.           return ((PINC & _BV(_bit))>>_bit);
  22. }
  23.  
  24. char be_d(char _bit)     // be_d(x) fuggveny definialasa PORTD-re
  25. {
  26.             DDRD &= ~(1<<_bit);     // PORTB x. lab bemenet
  27.             return ((PIND & _BV(_bit))>>_bit);
  28. }
  29.  
  30. void ki_b(char _bit,char _dat)     /* ki_b(x,y) fuggveny definialasa PORTB-re. PORTB x. labara 0V-ot vagy 5V-ot adunk, attol fuggoen hogy y erteke 0 vagy 1 */
  31. {
  32.           DDRB |= _BV(_bit);     // PORTB x. lab kimenet
  33.             if(_dat)
  34.                  PORTB |= _BV(_bit);     // ha y=1, az x. labra 5V-ot ad
  35.             else
  36.                 PORTB &= ~_BV(_bit);     // ha y=0, az x. labra 5V-ot ad
  37. }
  38.  
  39. void ki_c(char _bit,char _dat)     /* ki_c(x,y) fuggveny definialasa PORTC-re */
  40. {
  41.           DDRC |= _BV(_bit);
  42.           if(_dat)
  43.                PORTC |= _BV(_bit);
  44.           else
  45.               PORTC &= ~_BV(_bit);
  46. }
  47.  
  48. void ki_d(char _bit,char _dat)     /* ki_d(x,y) fuggveny definialasa PORTD-re */
  49. {
  50.           DDRD |= _BV(_bit);
  51.             if(_dat)
  52.                 PORTD |= _BV(_bit);
  53.             else
  54.                 PORTD &= ~_BV(_bit);
  55. }
  56.  
  57. void pwm_init()         //Timer1 PWM beallitasa
  58. {
  59.              TCCR1A |= (1<<WGM10);     //8 bites fazishelyes PWM
  60.              TCCR1B |= (1<<CS10);         //elooszto = FCPU/1
  61. }
  62.  
  63. void pwm(char _channel,unsigned int _duty)     /* pwm(a,b) fuggveny definialasa. a = 1 vagy 2 attol fuggoen hogy melyik motor, b = 0 – 100% (PWM kitoltesi tenyezo) */
  64. {
  65.           _duty = (_duty*255)/100;         /*motor sebesseg konvertalasa 0-100%-rol 0-255-re */
  66.           if(pwm_ini==0)
  67.              {
  68.                 pwm_init();
  69.                 pwm_ini=1;
  70.              }
  71.           if(_channel==1)
  72.             {
  73.                 TCCR1A |= _BV(COM1A1);     //nem-invertalt PWM, A csatorna
  74.                 DDRB |= _BV(PB1);     // PORTB PB1 lab kimenet
  75.                 OCR1A = _duty;         // motor1 pwm kitoltesi tenyezo
  76.                 _duty1 = _duty;
  77.             }
  78.           else if(_channel==2)
  79.              {
  80.                 TCCR1A |= _BV(COM1B1);     //nem-invertalt PWM, B csatorna
  81.                 DDRB |= _BV(PB2);         // PORTB PB2 lab kimenet
  82.                 OCR1B = _duty;         // motor2 pwm kitoltesi tenyezo
  83.                _duty2 = _duty;
  84.              }
  85.           else if(_channel==3)
  86.             {
  87.                TCCR1A |= _BV(COM1A1);     //nem-invertalt PWM, A csatorna
  88.                DDRB |= _BV(PB1);
  89.                OCR1A = _duty;
  90.                _duty1 = _duty;
  91.                TCCR1A |= _BV(COM1B1);     //nem-invertalt PWM, B csatorna
  92.                DDRB |= _BV(PB2);
  93.                OCR1B = _duty;
  94.                _duty2 = _duty;
  95.            }
  96. }
  97.  
  98. void motor(char _channel,int _power)     /* motor(a,b) fuggveny definialasa. a = 1 vagy 2 attol fuggoen hogy melyik motor, b = -100% – 100% (motor sebesseg) */
  99. {
  100.             if(_power>0)     // ha b (motor sebesseg) > 0, motor elore
  101.             {
  102.                pwm(_channel,_power);     // motor PWM bekapcsol
  103.                if(_channel==1)         // ha a=1 (motor1 elore)
  104.                      {
  105.                          ki_d(Motor1A,1);
  106.                          ki_b(Motor1B,0);
  107.                      }
  108.               else if(_channel==2)     // ha a=2 (motor2 elore)
  109.                    {
  110.                         ki_d(Motor2A,0);
  111.                         ki_d(Motor2B,1);
  112.                    }
  113.            }
  114.           else     // ha b (motor sebesseg) < 0, motor hatra
  115.           {
  116.               pwm(_channel,abs(_power));     // motor PWM bekapcsol
  117.               if(_channel==1)         // ha a=1 (motor1 hatra)
  118.               {
  119.                  ki_d(Motor1A,0);
  120.                  ki_b(Motor1B,1);
  121.               }
  122.             else if(_channel==2)     // ha a=2 (motor2 hatra)
  123.             {
  124.                 ki_d(Motor2A,1);
  125.                 ki_d(Motor2B,0);
  126.             }
  127.          }
  128. }
  129.  
  130. void motor_stop(char _channel)         /* motor_stop(a) fuggveny definialasa. a = 1, 2 vagy mind (3) attol fuggoen hogy melyik motort akarjuk megallitani */
  131. {
  132.            pwm(_channel,0);     // motor PWM kikapcsol
  133.            if(_channel==1 ||_channel==3)     //motor1 stop
  134.            {
  135.                   ki_d(Motor1A,0);
  136.                   ki_b(Motor1B,0);
  137.            }
  138.           if(_channel==2 ||_channel==3)     //motor2 stop
  139.           {
  140.                  ki_d(Motor2A,0);
  141.                 ki_d(Motor2B,0);
  142.           }
  143. }
  144.  
  145. void motor_ki()
  146. {
  147.            pwm(3,0);     // motor PWM kikapcsol, motor1 es 2 stop
  148.            ki_d(Motor1A,0);
  149.            ki_b(Motor1B,0);
  150.            ki_d(Motor2A,0);
  151.            ki_d(Motor2B,0);
  152. }
  153.  
  154. void elore(int speed)     /* elore(z) fuggveny definialasa, mindket motor elore, z = 0-100% */
  155. {
  156.            motor(1,speed);
  157.            motor(2,speed);
  158. }
  159.  
  160. void hatra(int speed)     /* hatra(y) fuggveny definialasa, mindket motor hatra, y = 0-100% */
  161. {
  162.            motor(1,speed*-1);
  163.            motor(2,speed*-1);
  164. }
  165.  
  166. void fordul_bal(int speed)         /* fordul_bal(n) fuggveny definialasa, balra fordulas: motor1 elore, motor2 hatra, n = 0-100% */
  167. {
  168.            motor(1,speed);
  169.            motor(2,speed*-1);
  170. }
  171.  
  172. void fordul_jobb(int speed)     /* fordul_jobb(m) fuggveny definialasa, jobbra fordulas: motor1 hatra, motor2 elore, m = 0-100% */
  173. {
  174.            motor(1,speed*-1);
  175.            motor(2,speed);
  176. }
Ha beégetem a robotba elindul és minden ok nélkül elindul hátra, jobbra, vagy balra.Ha kiveszem a _delay_ms()-t, egyből működik.(Persze csak addig amíg rövidrezárom a két csatlakozót.) Mi lehet a baj?
Mivel lehet helyettesíteni a _delay_ms-t?
(#) Fizikus válasza mikrofarad hozzászólására (») Feb 2, 2012 /
 
Szia!
Szerintem nem a _delay_ms() fuggvennyel van a gond. Ugy nez ki, hogy az AVR bemeneti labai szednek ossze valami zajt. Az AVR tapfeszultsege megfelelo kondival szurve van (lehetoleg minel kozelebb az AVR-hez)? A nyomogombok/mikrokapcsolok pergesere is oda kell figyelni (ezert is van a delay a kodban).
(#) mikrofarad válasza Fizikus hozzászólására (») Feb 4, 2012 /
 
Üdv!
Az AVR bemeneti lábain fototranisztor van, de ez sem okozhat gondot, mert már úgy is próbáltam, hogy le voltak választva.Szűrés van (kép): a sárga 100nF, a piros karika 100 ľF.

Picture 5.jpg
    
(#) Fizikus válasza mikrofarad hozzászólására (») Feb 4, 2012 /
 
Szia!
Akkor nincs mese, lepesenkent kell letesztelned hogy minden mukodik-e.
Eloszor 1 LED az AVR-re, azt kapcsolgasd a _delay_ms() fuggvennyel. Ezutan johet egy nyomogomb + LED. Ezzel tesztelheted hogy a LED-et gombnyomasra ki/be kapcsolod. Utana a motorvezerlo IC-t tesztelheted (koss ketiranyu LED-et a motor helyere). Az AVR-rel vezereld a motorokat elore/hatra/jobra/balra stb...
Vegul ha minden jol mukodik akkor tesztelheted nyomogombokkal + motorokkal a kapcsolast.
Remelem igy elobb-utobb meglesz hogy hol is a hiba (hardver vagy szoftver).
(#) Sihu12 hozzászólása Feb 6, 2012 /
 
Érdemes, ekkora kerekeket szervóval mozgatni?? én a hitec-422-esekre gondoltam, vagy nagyon rossz ötlet??
(#) borvendeg válasza Sihu12 hozzászólására (») Feb 6, 2012 /
 
Ez függ a robot súlyától is.
(#) Sihu12 válasza borvendeg hozzászólására (») Feb 6, 2012 /
 
Hát ez még elég képlékeny, olyan 3 kiló környékére tehető majd a súlya, inkább 4.
(#) borvendeg válasza Sihu12 hozzászólására (») Feb 6, 2012 /
 
Szerintem jó lesz! Főleg ha kerekenként teszel 1-et.
(#) Sihu12 válasza borvendeg hozzászólására (») Feb 6, 2012 /
 
köszönöm, reméltem, mert a szervók vezérlését nagyon megszerettem, de sok külföldi oldalon azt találtam, hogy ilyen nagyobb robotoknák, ekkora kerék méretnél a DC motorok jobbak lennének. / de ezt elakartam kerülni /
(#) borvendeg válasza Sihu12 hozzászólására (») Feb 8, 2012 /
 
A DC motort sem sokkal bonyolultabb vezérelni.
(#) Axel hozzászólása Feb 11, 2012 /
 
Sziasztok!
183Hz-es PWM-el hajtok egy TP SG-90-es 9g-s mikroszervót. A gondom az ,hogy nagyon gyakran zúg, mintha folyton pozicionálni akarna és melegszik is (épphogy langyos ). A végállások úgy jönnek ki, hogy 8bites módban 20-as komparálási szintnél egyik végállás míg 90-es szintnél a másik végállás ,kitöltési tényezőben ez azt hiszem 18.8 és 37.7 % között van. Az általános 1 és és 2 ms idők is stimmelnek így. Esetleg túl magas a PWM frekvencia és azért van ez a gond?
Köszi!
(#) borvendeg válasza Axel hozzászólására (») Feb 11, 2012 /
 
Hello!
Próbáld meg kisebb frekvenciával. Én ugyan nem sokat használtam szervót, de akkor 50Hz-el hajtottam. Úgy jó volt.
(#) Axel válasza borvendeg hozzászólására (») Feb 11, 2012 /
 
Megpróbáltam 47Hz-el (ez a legközelebbi előállítható érték) de még jobban rángat
Következő: »»   46 / 82
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem