Fórum témák

» Több friss téma
Fórum » Folyamatábrás mikrokontroller programozás Flowcode-dal
Lapozás: OK   247 / 360
(#) Ferkógyerek válasza Bakman hozzászólására (») Dec 29, 2015 /
 
Elnézést a hiba bennem volt!
Egy régebbi projectemnél aktiválnom kellett a Vref- bemenetet, ezért átírtam a PIC_CAL_ADC.c fájlt. A módosítást a project elkészülte után nem állítottam vissza, így most jól megszivattam magam.
A Vref- bemenet most az egyik multiplexelt kimenet lett, ezért volt néha jó néha pedig nem.
Bocs hogy ilyen butaság miatt zaklattalak, de két nap vesződés után, most jutott eszembe a változtatás.
(#) Kovabe hozzászólása Dec 30, 2015 /
 
Sziasztok
Megnézné valaki ezt a mellékelt programot hogy beégetve miért csak ugyan azt egy term-hez tartozó bitet kapcsolja be. Mintha valamiért nem változna a be érték. Már néztem logikai analizátorral és folyamatosan azonos érték érkezik.
(#) kokozo válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Szia!
A bekarikázott rész nem okozhatja a hibát?
(#) Bakman válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Main makró, több irányú elágazás, 'be' változó vizsgálata. Itt szép lassan minden 'term' változót 1-be állítasz, de ezt sehol nem állítod vissza.
(#) Kovabe válasza kokozo hozzászólására (») Dec 30, 2015 /
 
Nem gondolom hogy ez mert olyan az egész mintha egy bizonyos term kimenet beragadt volna és mindig ugan azt adja kb term 3=1 és minden más 0.
(#) niedziela válasza kokozo hozzászólására (») Dec 30, 2015 /
 
Ez az egyik hiba
másik a count folyamatos nullázása...stb
(#) Kovabe válasza niedziela hozzászólására (») Dec 30, 2015 /
 
Az miért hiba hogy a Loop-ba lépés elött nullázom a count-ot? Elvileg nullázás után belépek és számolok.
(#) Bakman válasza niedziela hozzászólására (») Dec 30, 2015 /
 
A Loop előtt nullázza, de magában a Loop-ban növeli a számlálót, nem tűnik hibásnak.
(#) Kovabe hozzászólása Dec 30, 2015 /
 
Annyit sikerült kibogarásznom hogy a 7. és 8.-at 1-re emelideatöbbi végig 0-a
(#) kokozo válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Nem lehet hogy csak egyszerűen lefagy a túl gyors timer miatt?
(#) Kovabe válasza kokozo hozzászólására (») Dec 30, 2015 /
 
Miregondolsz pontosan,sokat számol?
(#) kokozo válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Hogy a c0-nál lévő led villog e?
(#) Kovabe válasza kokozo hozzászólására (») Dec 30, 2015 /
 
Egy aktív buzzer van rajta és az tökéletesen megy.
A hozzászólás módosítva: Dec 30, 2015
(#) kokozo válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
A shift írásnál a loopban az első ha nál ez 8 < bit < 17 sosem fog teljesülni, mivel a bit 0 és 1 lesz az előtte lévő több irányú elágazás miatt, tehát a 9 feletti értékeket sose fogja beállítani.
(#) Kovabe válasza kokozo hozzászólására (») Dec 30, 2015 /
 
ok de az eleje sem müködik
(#) Bakman válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Tíz ciklus alatt minden termx változót 1-re állítasz és kész, többet nem is foglalkozol vele. Tulajdonképpen mi lenne a cél a programmal? Nem nagyon látom át.
(#) kokozo válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
Befordítottam én is hátha..
(#) Kovabe válasza Bakman hozzászólására (») Dec 30, 2015 /
 
Ez csak a kétszínű ledek világítása és kikapcsolására szolgál egyszer, későbbiekben, ha megfelelően működik akkor kimeneteket kapcsolgatok és visszajelzem egy ledsorral.
(#) Kovabe válasza kokozo hozzászólására (») Dec 30, 2015 /
 
Köszönöm
Már csak holnap tudok erre fényt deríteni de ha megy vagy ha nem akkor szolok. Eddig egy regiszterrel (8bit-es) dolgoztam de most bővítenem kell.
(#) niedziela válasza Kovabe hozzászólására (») Dec 30, 2015 /
 
..402 hiba és felesleges is, csak folytatni kell a szelekciót.
..414 hiba, és ilyen feltétel nincs, tehát soha nem is hajtódik végre
..801 hiba és felesleges is, csak folytatni kell a szelekciót.
..840 szelekció helyes folytatása
..241 a count nullázásával azt éred el, hogy tesz a program egy üres kört.
count értékét állítsd 1-re, a ciklus végén meg count > 16

Tényleg jó lenne tudni mi a cél, mert gyanús, hogy ezt fele annyi gépeléssel is meg lehet csinálni
A hozzászólás módosítva: Dec 30, 2015
(#) Kovabe válasza kokozo hozzászólására (») Dec 31, 2015 /
 
Modostottál valamit? Most úgy működik majdnem ahogyszerettem volna.
(#) Kovabe hozzászólása Dec 31, 2015 /
 
Kicsit modóstottam aprogramot és most azt csinálja amit szeretnék.
(#) Bakman válasza niedziela hozzászólására (») Dec 31, 2015 /
 
Hasonló teszteredmények. Flowcode v5 vs v6.
(#) kokozo válasza Kovabe hozzászólására (») Dec 31, 2015 /
 
Igen.. megcsináltad azokat amiket mondtunk
(#) niedziela válasza Bakman hozzászólására (») Dec 31, 2015 /
 
Ugyan az a program ami v5-be belefér az a v6-ba nem fér bele ?
(#) Bakman válasza niedziela hozzászólására (») Dec 31, 2015 /
 
Igen. Ikonról ikonra összehasonlítottam, minden stimmel.
(#) Kovabe válasza kokozo hozzászólására (») Dec 31, 2015 /
 
Most már csak egy dolog van ami nem tiszta, a Q7 lábakon valamiért forditva van a kimenet, term0-6-ig 1term7=0 és term8-14-ig 0 term15=1. Mi lehet a hiba?
(#) Kovabe válasza Kovabe hozzászólására (») Dec 31, 2015 /
 
Megoldodótt, hibás volt a regiszter írás, a count az elején 0 és a Loop végén 17-ig kell engedni különben az egézet eltolja egy bittel.
(#) kokozo válasza Kovabe hozzászólására (») Dec 31, 2015 /
 
Nem lenne egyszerűbb 2 byte-al megoldani ezt a sok bit műveletet? 1. byte az első 8 bit, a második a felső 8 bit.
(#) Kovabe válasza kokozo hozzászólására (») Dec 31, 2015 /
 
Csak a teszt miatt van ez most, késöbbiekben a kimeneteket vezérlem vele össze-vissza és ez már bevált csak idáig 8 bitben dolgoztam most viszont 16-al kell.
Következő: »»   247 / 360
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem