Fórum témák

» Több friss téma
Fórum » Alacsony költségű digitális oszcilloszkóp
Lapozás: OK   46 / 118
(#) MaSTeRFoXX válasza MaSTeRFoXX hozzászólására (») Feb 22, 2007 /
 
Ma vettem egy 40MHz-es kvarcoszcillátort. Bekötöttem a régi elgondoláson alapuló frekvenciaosztó helyére, hogy lehessen váltogatni az LTC6903 és a kvarcoszci között. Kiderült hogy ez a 40MHz az a határ amit a HC meg ACT IC-kkel meg lehet oldani jelenlegi állás szerint (bár 2 hónapja a logikai IC-s megoldást is eltemettem... )... az UM gyártmányú memória kényesebb a pontos időzítésre, néha belerak tüskéket. Az ISSI gyártmányú az alig szórakozik (nagyon ritkán 1-1 hibázás). Az új verzóban majd jól át kell majd gondolni hogy pontosan mikor írja a memóriát....

Most a szoftveres triggert írkálom, majd holnap valószínűleg kiderül hogy működik-e.

AZ NE5532-vel nem lett sokkal szebb a jelgenerátor jelalakja....
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Feb 23, 2007 /
 
"AZ NE5532-vel nem lett sokkal szebb a jelgenerátor jelalakja."

Az a baj hogy már a XR2206-nak se szép a jele, négyszögjelnél.

Rendeltem a Microchipnél alkatrészeket. Majdnem 50e lett a végösszeg. De legalább majdnem együtt lesz minden az LCD-s verzióhoz. Döntöttem CPLD-vel lesz megoldva. Még vagy 2-3hétig várnom kell a csomagra mert rendeltem tőlük LT1363CS8 (70Mhz) műveleti erősítőket. Megvagyok elégedve az LF357-el , csak az LCD-s verzióhoz már kell majd legalább 10Mhz-es sávszélesség 10x-res erősítés mellett.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Feb 23, 2007 /
 
Rendeltél LCD-t is?

Én maradok a logikai IC-s megoldásomnál..... ...megint
Amire én használnám a CPLD-t arra bőven elég 1-2 számláló IC meg 1-2 kapu.
Majd ha ez kész lesz akkor esetleg egy komolyabbat, de egy időre utána el szeretném felejteni, már egy kicsit sok amit ezzel szórakozok
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Feb 23, 2007 /
 
Csak a méret miatt választottam a CPLD-t, nem másért. Még az órajel előállítást is meg még sok részét össze kell zsugorítanom a szkópnak. Mindenből hagyományos DIP tokozásba vásároltam be korábban, most meg kezdhetem majd előről SMD-ben.

Kicsit sok energiát, időt kell ráfordítani, de a gyakorlatban lehet megtanulni sokmindent. Kicsit már én is untam, ezért aztán befejeztem a fvgenerátorom (1,5hónap) , aztán most folytatom tovább.
(#) bbatka válasza bbatka hozzászólására (») Feb 23, 2007 /
 
Naná hogy megrendeltem a 320x240-es LCD-t. Majd ha megjön (3hét), akkor teszek fel róla egy képet.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Feb 23, 2007 /
 
Így néz ki koppanásig feltekert frekvenciával a jelgenátor, és 40MHz-el mintavételezve
És megoldottam hogy az első 10 mérést ne rajzolja ki a kijelzőre...
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Feb 23, 2007 /
 
Nem rossz.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Feb 23, 2007 /
 
Adtam még egy vezetéket a memóriacímzésnek (512 mintát vesz mostmár) és írogattam a szoftveres triggert. Egész jól működik. Szinte mindig a megfelelő irányba indul el a jel (kivéve ha jön olyan zavar ami megkavarja.) Azt csinálja hogy a memóriából sorban kiolvassa az adatokat egymás után, ha eléri a triggerszintet akkor megnézi hogy az előző adat kisebb volt-e nála, ha igen akkor elindítja a kirajzolást.
(#) MaSTeRFoXX válasza MaSTeRFoXX hozzászólására (») Feb 23, 2007 /
 
Máma belebotlottam a hajdani csöves siemens szkópom dobozába, és megláttam az alján a kihajtható lábat Gondoltam jó lesz a mostani szkópra is.... Jó lett , jobban látható az LCD

Csak el kell vinnem krómoztatni, mert megette a horganyzást a rozsda
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Feb 24, 2007 /
 
Azon gondolkodom hogy az én hordozható változatú szkópom NiMh ceruza aksiról fog menni. Valószínűleg +-10V-ot kell majd előállítanom az analóg részéhez kapcsolóüzemben, mert az LT1363-nak minél nagyobb a tápfeszültsége annál nagyobb a sávszélessége. Lehet hogy PIC12C508 fogja előállítani a tápfeszt kapcsolóüzemben, mert elég sok van belőle itthon. Ezt még majd átgondolom. Összesen kb. 10-12db. ceruzaaksi kell majd. Nem kevés pénz, de legalább hordozható lesz.
(#) Gory válasza bbatka hozzászólására (») Feb 24, 2007 /
 
Lehet kapni kisebb 12 V-os akksikat is. Pl amiket elektromos rollerekhez használnak.
(#) bbatka válasza Gory hozzászólására (») Feb 24, 2007 /
 
Majd körülnézek az aksi piacon. Lényeg hogy a teljesítmény/tömeg aránya jó legyen. Még a fogyasztását is felül kell majd vizsgálni. Legalább 5 órát müködjön folyamatos üzemben. Persze ez lesz a végső része a projectnek.
(#) roleez válasza MaSTeRFoXX hozzászólására (») Feb 25, 2007 /
 
Gyönyörű ez a szkóp!
Mikor lehet megtekinteni a kapcs. rajzát? Programot?
Elérhetővé teszed a világnak?

Üdv,
Roland
(#) MaSTeRFoXX válasza roleez hozzászólására (») Feb 25, 2007 /
 
Szia
Elérhetővé teszem a rajzát.... amikor kész lesz.
Az még pár hónap, mert az egészet újra kell épitenem.
(#) MaSTeRFoXX válasza MaSTeRFoXX hozzászólására (») Feb 28, 2007 /
 
Elkészült az oszcillátor és logikai panel kapcsolásirajza. Ennek működnie kell...
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 1, 2007 /
 
Megterveztem az analóg rész paneljét. Tegnap kezdtem el maratni, de este 11-kor még csak félig marta le a rezet (reggel 5-kor kellni kellett a meló miatt), így vízbe tettem a panel.
Ha kész lesz és kimértem az átvitelét akkor felteszem majd ide a doksiját. Nagyjából már átbeszéltük, úgyhogy tudod hogy fog kinézni. Talán a bemeneti kondenzátort 2,2µF-ról csökkentenem kell majd, mert így nem illeszkedik a szkóp mérőkábelhez az 1:10 beállításánál.
(#) roleez válasza MaSTeRFoXX hozzászólására (») Márc 1, 2007 /
 
Köszi!
R.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 1, 2007 /
 
Mivel szoktál maratni hogy csak félig készült el?
Kíváncsi vagyok, hogy végül milyen kapcsolást alkottál
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 1, 2007 /
 
TN150 Gyorsmaratóval Ez komoly . 3ó lett a teljes maratási idő. No persze én nem szoktam sem melegíteni, sem kavarcolni vagy áramoltatni a maratót. Csak egy műanyag tálcába bele oszt majd le marja.
Vettem még a nyáron egy 600mm x 1000mm tábla papírbakelit hordozós nyákot (35um-es) 1200Ft-ért , hát ez abból készül. Na jó, felteszem a kapcsolást, de nemhogy nem próbáltam le a panelt, de még ki sem fúrtam.

pack.zip
    
(#) bbatka válasza bbatka hozzászólására (») Márc 1, 2007 /
 
Nem ellenőriztem végig a panelt maratás előtt. Egyszerűen elfelejtettem. És természetesen már is találtam benne hibát . A két dióda találkozását nem kötötte be az R5-re a nyákkészítő progi. Úgy vettem észre hogy nem látom a kapcsolási rajznál a találkozási pontot.

Úgy látom az RPOT3,C4, U1 7-es láb összekötés sem OK. Szerencsére könnyen javítható.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 1, 2007 /
 
Hasonló megoldást használsz mint én, mondjuk arra a 100 pF-os kondira kiváncsi leszek hogy mit csainál ott az AD konverter előtt. Nálam csak zavart gyűjtött a GND felől.
Nálam annyit kell módosítani az offszet eltoláson, hogy nem megy le egész 2V-ig az ofszet feszültség, vagyis nem tudom teljesen 0-ra vinni a pozíciót a szkópon, valószínűleg ezért van, mert a műveleti erősítő egy bizonyos nagyságú áramot vesz fel azon a bemenetén(+ a visszacsatoló tagok is beleszólnak rendesen), és így elhúzza az ofszetet az 1k-s ellenálláson.

Amúgy csak ajánlani tudom szoftveres trigger üzemmódot Sokkal pontosabb (jobb) mint az analóg + komparátoros megoldás.

Elkészült az órajel és logika modul nyákterve, a hétvégén nekiesek és megépitem és letesztelem a jelenlegi szkópban 74LS90-ből csak DIP tokosat kaptam, de így se néz ki olyan rosszul, szebb lenne SMD-ben. Ma meg már belekezdtem a memóriamodul kapcsolásába is.
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 2, 2007 /
 
Egy másik kapcsolásban már használom a 100pF-os kondit , de nem okozott problémát.
Az R11-es 3,3kohmos ellenállást javaslom hogy annak értével kísérletezz. Már szétszedtem a demopanelen a kapcsolást, így már nemtudom megnézni, de úgy emlékszem hogy 1,2V-ig sikerült levinnem az offsetet.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 2, 2007 /
 
Én a 3,3k-s ellenállással nem tudok kísérletezni, mert teljesen máshogy van megoldva nálam ez a rész
Nálam az ofszet állító potinál lévő feszültségosztóval kell szórakozom.

Elkészítettem az órajel&logika NYÁK-ot, holnap fogom beültetni, meg kipróbálni. (Még egy kicsit gányolok a jelenlegi szkópon )
(#) MaSTeRFoXX hozzászólása Márc 4, 2007 /
 
Elkészült végre a szkóp oszcillátor és logika panelja... És még működik is. Most már lehet választani 40-20-10-5-1MHz-es órajel közül. Az LTC6903-mat kiszedtem, most más csak ez adja a jelet. + hozzáigazítottam a szofvert. Kicsit kisebb lett a zaj 40 megán. Asszem ez az utolsó dolog amit el tudtam követni ezzel a szkóp panellal. Most már tervezni kell az alaplapot, meg a többi modult.
(#) szabi83 válasza MaSTeRFoXX hozzászólására (») Márc 4, 2007 /
 
szép lett!!
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 4, 2007 /
 
Igényes munka.
(#) Gory válasza MaSTeRFoXX hozzászólására (») Márc 4, 2007 /
 
Te egy állat vagy
(#) phc hozzászólása Márc 4, 2007 /
 
heló mindenki
Valahol hallottam hogy egy régebbi gameboy-t átalakítottak oszcilloszkópra és többsávos multitmeterre. Bár a megoldás nem tudom hogy nézett ki, de ennél olcsóbban nem tudok hordozható "univerzális" műszert.
Deviszont arról fogalmam sincs hogyan programozták át a ketyerét, és azis kérdés hogyan oldották meg a jelbemenetet. jobban belegondolva az utóbbi egyszerűbb feladat.
(#) bbatka válasza phc hozzászólására (») Márc 4, 2007 /
 
Láttam azt a ketyerét, de nem ragadott meg túlságosan.
(#) bbatka válasza bbatka hozzászólására (») Márc 10, 2007 /
 
A hét elején elkészült az analóg panelem. Mondanom se kell úgyanazokból az alkatrészekből épült fel mint a próbapanelen volt. 2X erősítés állapotban 2,7Mhz-en gerjedt mint a veszedelem. Szerencsére gyorsan orvosoltam a problémát betettem az LF357 2-es lába és a test közé egy 220pF-es kondit. Kettős hatása volt . Egyrészt kiemelte az erősítést nagyobb frekvencián. 1,5Mhz-nél már 4X-es volt az erősítés. Aztán lecsökkentettem 68pF-re az értékét. Csakhogy még ezek után se ért véget a megpróbáltatások sora. Az volt a gond hogy 2,3X-os erősítésről nem tudtam levinni az erősítést 2X-re. Nem volt más megoldás a kimenetre egy 1Kohmos trimmert kellett tennem. Ennek meg az volt a hatása hogy csökkent a sávszélesség. 670Khz-nél már 2V helyett csak 1,8V van. Holnap még az áthidaló 68pF kondival játszok kicsit. Szerintem 100pF körül lesz megfelelő az értéke.
A bemeneti osztó sem volt sima ügy. A bemeneti 2,2µF -os kondi helyére egy 47nF-os került . A mélyátvitel már nem is érdekel annyira, inkább illeszkedjen a szkóp mérőfejhez 10:1 állásban.
A bemeneten lévő 470pF kondi helyére egy 220pF-os került a sávszélesség korrekció miatt. Még koránt sincs kész , de a felén már túljutottam, talán.

Megérkezett a CPLD Starter kittem meg a 320X240-es LCD-m is a ChipCAD-től. Meg néhány CPLD XC2C64A-7QFG48C illetve XC2C128-7VQ100C. Mindkettőből 2-2db. Ígyhát elkezdhetek ismerkedni a CPLD-kkel. Az XC2C64A-7QFG48C beforrasztása nagy kihívás nekem. Olyan picik a lábai hogy alig látni őket és ráadásul a tokozás alá vannak hajlítgatva. Hogy lehet ezt beforrasztani?
Következő: »»   46 / 118
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem