Fórum témák

» Több friss téma
Fórum » Alacsony költségű digitális oszcilloszkóp
Lapozás: OK   47 / 118
(#) dpeti válasza bbatka hozzászólására (») Márc 10, 2007 /
 
azt a CPLD-t lehet kapni PLCC44 tokban is... azzal könnyebb dolgod lenne
(#) bbatka válasza dpeti hozzászólására (») Márc 10, 2007 /
 
Csak ilyen tokban volt nekik raktáron. Telefonon beszéltem velük, azt se tudtam hirtelen hogy mire mondok igent. Valahogy megoldom. Talán ha lenne egy SMD-hez való forrólevegős pákám azzal nem lenne gond, gyorsan menne.
(#) Gory válasza bbatka hozzászólására (») Márc 11, 2007 /
 
Nincs ilyen fajta foglalat?
(#) bbatka válasza Gory hozzászólására (») Márc 11, 2007 /
 
Nekem is megfordult a fejemben. Csakhát most jött meg a csomag, aztán most megint rendeljek. Kitaláltam nagyjából hogy hogyan fogom beforrasztani.
1, Beónozom a panelt és a lábakat. Folyasztószer jó sok. Rányomni a panelra a CPLD-t. Lapos páka fejjel átmelegíteni a lábakat.

2, Ha az előző nem jönne be, akkor úgyanez csak gázos pákás melegítéssel. Elég kisteljesítményű a gázos pákám , nem hiszem hogy gond lesz.
(#) bbatka válasza bbatka hozzászólására (») Márc 13, 2007 /
 
Szóval az előbb átírtam a PIC programját 18F452-re. A PIC pll-es üzemmódban 40Mhz-es fut, így 555Khz lett a mintavételezési freki. A sorosporti komunikáció sebességét is megnöveltem 19200bit/s-re.
(#) bbatka válasza bbatka hozzászólására (») Márc 13, 2007 /
 
Bocsika. Ezek a képek 277khz-es mintavételre vonatkoznak. Régebbi verziós prgramot fordítottam le. Keresem az újat.
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 13, 2007 /
 
Kicsit mintha kevés lenne a minta amiből kirajzolná az ábrát..... Eléggé vonalas, meg szögletes....
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 14, 2007 /
 
Rájöttem hogy a minta dupla 41.2Khz-es. A spectrumanallizátor számítására hagyatkoztam ami még 277Khz-el számolt. Mindjárt készítek egy újabb mérést.
(#) bbatka válasza bbatka hozzászólására (») Márc 14, 2007 /
 
Szóval néhány kép. Kb. 560Khz-en.
(#) bbatka válasza bbatka hozzászólására (») Márc 17, 2007 /
 
Már napok óta a szkóp PC-s programját írogatom. Haladtam vele, de ma valahogy nem igazán. A mozgó kurzorokat csináltam meg, + az adatok vízszintes scrollozását. Jól sikerült. Ma csak annyit tűztem ki magamnak hogy a kurzorok helyzetéhez kiszámítani a hozzátartozó időket, feszültségeket és frekvencia számítás. De valahogy nem fog a fejem , ezért elkezdtem foglalkozni ai ISE WebPackkel + XC2C64A-7QFG48C CPLD-mmel. Úgy tűnik jó döntés volt. pl. ennyi egy 16bites számláló VHDL-ben.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity counter is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
count : inout STD_LOGIC_VECTOR (15 downto 0));
end counter;

architecture Behavioral of counter is

begin

process (clock,reset)
begin
if reset='1' then
count<= "0000000000000000";
elsif clock='1' and clock'event then
count <= count + 1;
end if;
end process;


end Behavioral;

Mivel 3,3V-os (max. 3,6V) lehet a ki-bemenetre jutó feszültsége a CPLD-nek, ezért dsPIC30f5011-et fogok társítani hozzá és 3,5V-on fogom járatni.
Még mielőtt kitaláltam volna a dsPIC-et arra gondoltam hogy 18F4550-et fogok használni és a CPLD 3,3V-os feszültségszintjére diódákakkal ejtem le a feszültséget. Vannak úgyan 5V/3,3V átalakító IC-k , de ilyenem nincs éppen itthon. Nemtudom müködne-e ez a diódás feszültség ejtési trükk.

A memóriák, A/D átalakító 5V-osak, de a CPLD-től csak kifelé megy jel, így nem lesz gond.
Nemtudom hogy a CPLD kimenete egyszerre (párhuzamosan) tudna-e címezni 2db. SRAM-ot, mivel 2db. bemenete lesz a szkópnak. Fogalmam nincs mennyire lehet terhelni a CPLD kimeneteit.

Egy kép a félkész progiról:

És egy kép a 16bites számlálóról amit az ISEWebPack alkotott a VHDL progiból.>>
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 17, 2007 /
 
Jól haladsz, szépen néz ki az ábra.
Szerinem a CPLD elbírja címezni mindkét SRAM-ot. Az XC9572 az legalábbis biztos, (24mA van az adtlapban) de szerintem biztos hogy menni fog.

A sajátom most háttérbe van rakva, Majd júniusban szedem elő komolyabban. Most itt van ez az átkozott érettségi.... Közben azért néha csinálgatom egy kicsit...
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 17, 2007 /
 
Igyekszem ezzel az egyszerű szkóppal hogy egy komolyabba bele tudjak vágni. A CPLD-ről egy kép a poén kedvéért. 48db. lába van!

IMG_0360.jpg
    
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 17, 2007 /
 
Azta 7 szentségit
pfff.....
Na ez nekem sok Én örülök hogy házilag csinálok a 0.65mm-es lábtávhoz panelt, meg beforrasztom JLT2-vel, mindenféle kence, meg segédanyag nélkül
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 17, 2007 /
 
Én is téptem a hajam mikor megláttam, de aztán túljutottam az első kétségbeesésen. Van néhány profi videóm arról hogy hogyan kell ilyen csöppségeket beforrasztani. Azokon a videókon sem féltették őket a hőterheléstől. Talán én sem teszem tönkre.
(#) dpeti válasza bbatka hozzászólására (») Márc 18, 2007 /
 
Én most nekikezdtem a VGA monitoros szkópnak, a vga modul már nagyjából ok, most a memóriával van sok kínlódás, mert 3 bites 800x600 pixel elbiro ram nem fér bele (spartan3e-500), kulso sram nincs csak ddr, azzal meg egyenlore meg semmit sem tudtam kezdeni, most megprobalom ugy hogy minden oszlophoz hozzarendelek egy 3 bites (1k -s) szinmemoriat, meg egy 8-16 bites szinten 1k-s adatmemoriat, ha az éppen pásztázott oszlop és sor megegyezik a memoria cim es adataval (vagyis cimkent oszlop be, es if(sor=adat) akkor veszi a szinmemoriabol az adott oszlop szinet et kikuldi rgb-re... (ami 8 bites szinmelyseget tud)
Itt meg az egy adott oszlop ujrarajzolasanal a tobb adat kiirasat kellene eltuntetni, mert ha kozben modosul a memoria tartalom akkor megint egyezni fog, itt most brute force modszerrel lehetne megegy memet csinalni, amiben bepipalok egy bitet ha az adott rajzolasnal az az oszlop mar volt, de ez valahogy nem tunik egeszsegesnek)
----
bbatka: azt a videot ki tudnad osztani valahol? thx szepen
(#) szabi83 válasza dpeti hozzászólására (») Márc 18, 2007 /
 
én is jó leszek?

tessék:
http://www.vfx.hu/info/smdforrasztas.html

http://kyrk.no-ip.org/forrasztas/

remélem erre gondolt, bbatka
(#) dpeti válasza szabi83 hozzászólására (») Márc 18, 2007 /
 
most az egyszer... :p
Köszi szépen!
(#) bbatka válasza szabi83 hozzászólására (») Márc 18, 2007 /
 
Nem erre gondoltam. Azért ez sem rossz. Vagy 20db. rövid kis video különböző SMD alkatrészek ki-beforrasztásáról.
Ha tudtok óriás fájlt fogadni , akkor elküldöm e-mail -ben.

összesen.356MB

Gyantát használt folyasztószernek? Vagy mást? Mit gondoltok? Ez egy lényeges kérdés.
(#) bbatka válasza dpeti hozzászólására (») Márc 18, 2007 /
 
Neked nincs e-mailed ? A VIP v. Freemail javaslom.. Küldjem vagy ne?
(#) dpeti válasza bbatka hozzászólására (») Márc 18, 2007 /
 
Hello
nem fontos, ez a video megteszi, amit szabi linkelt be
(#) szabi83 válasza bbatka hozzászólására (») Márc 18, 2007 /
 
köszi!
(#) bbatka válasza szabi83 hozzászólására (») Márc 18, 2007 /
 
Akkor ezek szerint megkaptad.
(#) bbatka hozzászólása Márc 26, 2007 /
 
Hogy ne tünjön úgy hogy ez a téma elhanyagolódik. A PC-s programot írom. Sokat haladtam vele. Még különböző képernyő felbontásokra le kell ellenőríznem hogy helyes-e a megjelenítés, el kell készítenem hozzá a helpet és a telepítőt. Jelenleg WinXP alatt írom és legalább a Win98 alatt is még kiakarom próbálni.
Mellékeltem egy mérés eredményét. Egy Madonna szám részlet.
(#) szabi83 válasza bbatka hozzászólására (») Márc 26, 2007 /
 
zsíír
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 26, 2007 /
 
Szép!

Tegnap youtube-on véletlen találtam egy videót valamilyen digitális szkópról, valamit mértek vele, de nem is az a lényeg, hanem az LCD-t úgy frissítette hogy nem törölte le az egész képernyőt mint nálam, hanem amikor rajzolt akkor az aktuális pont előtt valamennyivel törölte az ábrát. Ez szerintem gyorsabb, vagy legalábbis szebb megjelenítést okoz
(#) bbatka válasza MaSTeRFoXX hozzászólására (») Márc 26, 2007 /
 
Képzeld jóformán semmit nemtaláltam a 320x240-es LCD-m vezérlésére. Egy másik hasonló elven müködő grafikus LCD adatlapja úgyan ír a vezérlésének módjáról, de nem túl egyszerű a vezérlése. Szóval egyelőre majdnem teljesen homály számomra. Attól tartok ASM-ben meg kell alkotnom hozzá a Fv-ket, mert nemtudok olyan fejlett nyelvű programozó környezetett ami kezelné. Szép kihívás lesz.

szabi83 ! köszönöm. Remélem hamarosan elkészül teljesen és akkor mindenki számára elérhető lesz.
(#) Gory válasza bbatka hozzászólására (») Márc 26, 2007 /
 
Milyen vezérlő van benne?
(#) bbatka válasza Gory hozzászólására (») Márc 27, 2007 /
 
Van rajta LP324M, IT7001M , de szerintem egyiksem a vezérlő mert közvetlenül az LCD-nél van még két fóliára rögzített csip. NT7701F3 feliratok találhatóak mellettük.
(#) bbatka hozzászólása Márc 27, 2007 /
 
Megtaláltam az adatlapját a vezérlőnek. http://www.dciincorporated.com/pdf/NT7701F3.pdf
(#) MaSTeRFoXX válasza bbatka hozzászólására (») Márc 27, 2007 /
 
Most volt egy kis időm, ezért rajzolgattam, hogy nálam is történjen valami. Elkészült a kapcsolása a memória modulnak. Elmegy egy "óccsó" FIFO-nak is, mert hasonlóképen kell kezelni
Még átnézem, de jónak tűnik, az elve a gyakorlatban már működik
Talán a tavasziszünetben meg is tudom építeni meg le is tudom tesztelni

memory.pdf
    
Következő: »»   47 / 118
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem