Fórum témák

» Több friss téma
Fórum » Frekvenciamérő
Lapozás: OK   47 / 75
(#) dB_Thunder válasza papus51 hozzászólására (») Jan 20, 2016 /
 
Vannak olyan igények amit mikrovezérlők nem tudnak kielégíteni...még. Pl nem véletlenül gyártanak olyan frekiváltókat amiben kommersz ic-k végzik a feladatukat, atomstabilan.
A te projekted is bőven túlmutat a hobbi kategórián, igen komoly berendezés lesz , ez már a specifikációkból is látszik. Remélem ha elkészül bemutatod nekünk!

Ettől függetlenül utánépítés nehézsége szerint, nagyon jó, helyezést ér el egy mikrokontrolleres frekimérce! Beállítani, hangolni nem kell, pár alkatrész az egész.Összerakod programozol és megy.
(#) Kera_Will válasza dani555 hozzászólására (») Jan 20, 2016 /
 
74390 2db 10es osztót tartalmaz.Abból könnyen tudsz építeni kevés alkatrészből freki mérőt.
pl.:kiegészíteni kvarcoszcillátorral
pl.:CD4060 + 32768 Hz óra kvarc

ezen a elven akár 10KHzig is mérhetsz pedig itt 100MHzig !
3 ic-s
LED binary decimal display
7db 10MHz fölé
Lehet válogatni !
Habár a CD4026 elég jó választásnak tünik !
Annál már csak a TIL306 a jobb kijelző+számláló+latch egyben.
Igaz nem mai darab és drága ... tápját illik kicsit ejteni soros diódával , hosszabb élettartam.
A hozzászólás módosítva: Jan 20, 2016
(#) ktamas66 válasza papus51 hozzászólására (») Jan 20, 2016 /
 
Pedig szerintem a PIC-LCD párosnál nemigen van olcsóbb megoldás (legalábbis számolásra, kijelzésre). A bemeneti fokozaton múlik minden. A múltkor összedrótoztam egy teszt kapcsolást periódusidő mérésre. Valami hasonló TCXO-val mérve a referencia jelet pontosan 1 000 000us-ot mért.
(#) gerenk válasza Kera_Will hozzászólására (») Jan 20, 2016 /
 
Szia !
Ajánljuk még Daninak a 74143 8 bites számláló+tároló+szegmens meghajtó ic.-t,
ehhez már csak 7 szegmenses LED kijelző, vezérmű és bemenő fokozat kell.
A hozzászólás módosítva: Jan 20, 2016
(#) papus51 válasza ktamas66 hozzászólására (») Jan 20, 2016 /
 
Az még csak 6 számjegy meg egy kicsi.
(#) dani555 válasza proli007 hozzászólására (») Jan 20, 2016 /
 
Köszi! Az elsővel az a problémám, hogy abban van egy olyan alkatrész (4583) melynek sem helyettesítőjét, sem magát már nemigen találom, legfeljebb ebay-en sokezerért.

A második az oké azt már én is nézegettem és úgy gondoltam ki fogom próbálni, de azzal a műveleti erősítővel nem igen tudok mit kezdeni, mármint ami a típusát illeti.
Oda bármilyen +/- 5v-os tápfeszültségre való, (előfeszített) típus megteszi?
A 0.022 gondolom µF-ban érti, tehát 22nF. ??
(#) dani555 válasza Ge Lee hozzászólására (») Jan 20, 2016 /
 
Szia!

Nagyon köszönöm a felvetést, de sajnos ezt az eshetőséget el kell vetnem, mert egy relatíve kis helyen kell menni az áramkörnek, ráadásul mindenképpen valami elem/akkuról, tehát sajnos ez a variáció hely és energiaigény miatt nem játszik....

(igazából már az icl7107 mérete és fogyasztása is majdnem sok...)
(#) dani555 válasza kistee hozzászólására (») Jan 20, 2016 /
 
Szia!

Ez bizony megfontolandó, mert előbb utóbb úgyis el kell kezdenem a uC-kel foglalkozni.(Mármint ha akarok diplomát.)
Az elektronikával relatíve jóban vagyok, programozni elvben tudok c, c++,assembly-ban, (legalábbis van róla papírom), előző szülinapomra kaptam nagyszülőktől PICkit 3-at, tehát miért is ne?

(Ilyenkor mindig 10 körömmel húz vissza az, hogy a számítógépeket nem igen tudom "kezelni "....)

De ez már más topik témája....
(#) dani555 válasza Kera_Will hozzászólására (») Jan 20, 2016 /
 
Szia!
a 74390-es és a 74173-as igen jó választásnak tűnik. Még megfontolom...
(#) ktamas66 válasza dani555 hozzászólására (») Jan 20, 2016 /
 
Ha ilyen "nagy" méretben gondolkodol, talán az ICM7216 jobb választás lehetne.
(#) Ferkógyerek válasza papus51 hozzászólására (») Jan 20, 2016 /
 
Én is éppen egy ilyen frekimérő tervezését fontolgattam PIC-es kijelzéssel, de CMOS illetve TTL első számláló áramkörökkel. Amit megépítettem egy Ausztrál újságban megjelent cikk alapján, nem működött 100%-osan, illetve nem teljesen úgy működött ahogy azt elvártam volna tőle.
Nálam 12 digites OCXO időalapos van tervben, de akkor inkább megvárom a TE verziódat.
A netet felforgattam, de sajnos sehol nem találtam, olyan jól dokumentált, modernebb mérési elveket használó frekvenciamérőt amely utánépíthető lenne, pedig szívesen megpróbálkoznék vele.
(#) gerenk válasza dani555 hozzászólására (») Jan 20, 2016 /
 
6 digites számlálólánc 74143 ic. , 5 V DC tápról működik. A főpanel oldalán a vezérmű, bemenő erősítő fokozat a másik oldalra szerelhető.
(#) Hp41C válasza dani555 hozzászólására (») Jan 20, 2016 /
 
Idézet:
„abban van egy olyan alkatrész (4583) melynek sem helyettesítőjét, sem magát már nemigen találom”

Az a CD4583 kapható (a honlapjuk szerint) a Mikrovill -nél.
A 3140 műveleti erősítő pedig a CA3140, szintén kapható náluk.

Normál TTL áramköröket már csak elfekvőben lehet találni. Sajnos az LS és a HC is megy utánuk... Sajnos ezek az áramkörök már 30 .. 40 évesek.

Azonban minek összerakni egy hatalmas fogyasztású, terjengős számláló sort MSI elemekből, amikor egy tokban (dip28 könnyen kezelhető) lehet kapni akár 32 bites kontrollert is, ami 4294967296 is elszámolgat vagy a ccp moduljával ekkora eredményt egyszerre letárol? A bemeneti fokozat dönt el mindent, de az átvehető azokból a tervekből a kontroller elé is. Megoldható az is, hogy a kontroller járjon arról a szuper pontos órajel generátorról. Mind költség, mind fogyasztás, mind kényelem (oszcillátor frekvencia hozzáadása, levonása, átlagolás, kommunikáció, hosszú idejű mérés eredményeinek továbbítása számítógépre, stb...), mind ár ( PIC32MX250F128B-50I/SP 1600Ft.) szempontjából előnyösebb a kontroller és az LCD kijelző.
(#) Hp41C válasza (Felhasználó 15355) hozzászólására (») Jan 20, 2016 /
 
555 időalappal és 12 bites A/D -vel a 888 és a 999 Hz nem lesz megkülönbötethető... Ja és maga a ICL7106 drágább mint a PIC32MX250F128B-50I/SP...
A hozzászólás módosítva: Jan 20, 2016
(#) dB_Thunder válasza dani555 hozzászólására (») Jan 20, 2016 /
 
Idézet:
„előző szülinapomra kaptam nagyszülőktől PICkit 3-at”

Idézet:
„programozni elvben tudok c, c++,assembly-ban”

Akkor nem tudom hogy mi a kérdés!?!
Rengeteg elérhető projekt van, PIC-re meg főként!
Amit kistee ajánlott miért nem jó?
Esetleg a bemenetet érdemes kidolgozni, vagy ellopni más projekből!
Innentől szerintem felesleges a téma felett körözni mint egy keselyű!
Idézet:
„számítógépeket nem igen tudom "kezelni "”
Csak egy géppel kell megbirkóznod!
(#) dani555 hozzászólása Jan 20, 2016 /
 
Idézet:
„Innentől szerintem felesleges a téma felett körözni mint egy keselyű!
Idézet:
„számítógépeket nem igen tudom "kezelni "”
Csak egy géppel kell megbirkóznod!”


Látod szerintem is!
Ezt szó szerint kell érteni!
Ergo: Esélytelen, hogy legyen annyi kompetenciám, hogy egy MPlab-ot vagy bármilyen más fejlesztőkörnyezetet le tudjak tölteni, és be tudjak üzemelni. Egy egyszerű nyáktervező programhoz, és a matlabhoz is külsős segítséget kellett kérnem.....

De hát ez van, részemről a témának erre a felére: . (pont)
(#) dani555 válasza Kera_Will hozzászólására (») Jan 20, 2016 /
 
Idézet:
„74390 2db 10es osztót tartalmaz.Abból könnyen tudsz építeni kevés alkatrészből freki mérőt.
pl.:kiegészíteni kvarcoszcillátorral”


Ez okos ötletnek tűnik, és még nem is láttam.
Mondjuk ki lehetne váltani azt a texas instruments-es ősállatot egy: 4bites latch->7 szegmens dekóder-> 7szegmens kijelző kombinációval ABCD kimenetenként.

Talán...
(#) proli007 válasza dani555 hozzászólására (») Jan 20, 2016 /
 
A CD4583-re neked semmi szükséged, mint ahogy a bementi tranyóra sem. Mivel egy 555 állítja elő a mérendő jelet.
A műveleti erősítőre sincs szükséged. A 68pF-ot kell az 555 kimenetére kötni (de inkább egy 1nF legyen, ha 0..999Hz lesz) és az ezt követő 22k-is ki lehet hagyni. Az időzítő kondi, valóban 22nF.
De a kollégáknak igazuk van ám! Vagy mikrokontroller, vagy egy kazal IC, vagy speciális IC.
Az frekvencia/feszültség átalakítás, meg maximum akkor célszerű, ha amúgy is van DVM abban amit készítesz, és nem akarsz már másik digitális kijelzést készíteni..
(#) dani555 válasza proli007 hozzászólására (») Jan 20, 2016 /
 
Ok! köszi a segítséget
(#) dB_Thunder válasza dani555 hozzászólására (») Jan 20, 2016 /
 
Nem igazán értem ezt "vesztes" hozzáállást! Az meg végképp nem hogy mi oly bonyolult egy program letöltése és telepítésében... de gyanítom hogy még erre is csináltak tutorialt...
Nem tűnsz nekem matuzsálemnek, sem fogyinak. Én is csak egy villanyszerelő vagyok, és még nem a ámítógépeken nőttem fel. Fel kellet szívnom ezt a tudást is, mint az elektronikát.
Mindegy én leléptem.
A hozzászólás módosítva: Jan 21, 2016
(#) papus51 válasza gerenk hozzászólására (») Jan 20, 2016 /
 
Mi a szerepe a sok ellenállásnak? A 74143 15mA-es konstans áram kimenetekkel rendelkezik.
(#) Hp41C válasza dani555 hozzászólására (») Jan 21, 2016 /
 
Nos töltsd le az MpLab 8.92 -t innen. Bontsd ki és telepítsd fel, mindent hagyj az alap beállításon. A többit az MpLab kérdések topikban...
(#) gerenk válasza papus51 hozzászólására (») Jan 21, 2016 /
 
Némi kis fogyasztás csökkentés
(#) papus51 válasza gerenk hozzászólására (») Jan 21, 2016 /
 
Akkor jó. Első ránézésre kezdtem aggódni, hogy valamit elnéztem, mivel az első ECL dekádot leszámítva nálam is 74143-ak lettek betervezve. Én a meghajtást a BI lábon keresztül teveztem szabályozni PWM-el.
(#) ktamas66 válasza dani555 hozzászólására (») Jan 21, 2016 /
 
Akkor esetleg 74C926, az egyből meghajt 4 digitet. Ha a kis fogyasztás is fontos lenne, lehetne 7 szegmenses LCD kijelző. Van olyan PIC ami már meghajtja, és lehet, hogy az 555 feladatait is kiválthatja. Mindig kell egy első feladat a kezdéshez, ami elég motivációt ad.
(#) Hp41C válasza ktamas66 hozzászólására (») Jan 21, 2016 /
 
74C926 itt kapható, de ~4600 Ft... Nem érdemes ma már ilyen ritka példányra tervezni.
(#) ktamas66 válasza Hp41C hozzászólására (») Jan 21, 2016 /
 
Szerintem sem (én az egészet beprogramoztam egy 500-Ft-os PIC-be), bár a neten olcsóbb, igaz 4db 74143 sem olyan olcsó, viszont a statikus meghajtás miatt többet fogyaszt. De ha valaki igazi retrot szeretne ...
(#) Hp41C válasza ktamas66 hozzászólására (») Jan 21, 2016 /
 
Csak a 4 db 74143 saját áramigénye 400mA (100mA / digit).
A hozzászólás módosítva: Jan 21, 2016
(#) gerenk válasza Hp41C hozzászólására (») Jan 21, 2016 /
 
Igen elég sokat fogyaszt, talán érdemes a vezérművel együtt az egészet nikecellel bélelt dobozba zárni és akkor mint kristálykályha is funkcionálhat.
(#) Hp41C hozzászólása Jan 21, 2016 /
 
Íme a kihagyhatatlan lehetőség : Bővebben: Link
A hozzászólás módosítva: Jan 21, 2016
Következő: »»   47 / 75
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem