Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   6 / 49
(#) gtk hozzászólása Szept 30, 2007 /
 
Szia eltexto !

Koszi !
Ez a kod meg logikusnak is tunik
Az elozo kod mukodik ugy ahogy irtad ! Minden RESET utan egyszer vegigszamol decimalisan. Erdekes az , hogy nem tudtam ravenni, hogy folyamatosan szamoljon sehogy sem. Kiszedtem a RESET reszet, kezdoerteket adtam az a: inetegernek, a <= a * 2; ele feltetelt irtam :
  1. if a = 15 then
  2. a <= 1;
  3. --count <= (others => '0');
  4. cnt_2 <= "0000";
  5. end if;
  6. ....

de semmit nem csinal

Ez mit csinal ?
count <= (others => '0');

Kusza egy nyelv..
Verilog erthetobb?

Udv.
(#) eltexto válasza gtk hozzászólására (») Szept 30, 2007 /
 
Hali !

Az if a=15 szerintem nem jó. Az a lehetséges értékei
mivel 2 -vel szorzod (lényegében shifteled)
[1,2,4,8],16 . Próbáld if a < 15 - el.

Bár szerintem igysem fog müködni mert induláskor is kellene egy a<=1 . Vagy 'a' difenicójánál := 1;

count <= (others => '0'); count minden bitjét nullára állítja. Hogy ne kelljen hosszan írni:

count <= "0000000000000000000000";

Na meg, ha módosítod a definicióban a hosszát, akkor sem kell az initeket korrigálnod.

Nekem a verilog kínai. Én vhdl-el kezdtem, nekem érthetőnek tünik. Amit a terminal forumon belinkeltek vhdl magyarnyelvü anyag, azt nézegeted? Mert elég sokminden lényeges dolog van benne.

Üdv. Zoli

>>>
(#) eltexto válasza eltexto hozzászólására (») Szept 30, 2007 /
 
Elirtam if a > 15 a helyes.
(#) gtk válasza eltexto hozzászólására (») Szept 30, 2007 /
 
Koszi a sok segitseget !

Most egy picit tanulok, egyelore nem kerdezek
Bocsi, elirtam, if a = 16 volt, es definicional ott volt az := 1.
Igen , az az anyag megvan, olvasgatom, ..

Udv.
(#) Tomee válasza eltexto hozzászólására (») Szept 30, 2007 /
 
Azt a VHDL irást ide is be tudnád linkelni?

Keresgéltem a Terminálon, de nem találtam meg.
Köszi.
(#) gtk hozzászólása Okt 10, 2007 /
 
Sziasztok !

Gondoltam felhozom a topicot, ha nem baj.
Eljutottam a decimalis elore -hatra szamlaloig, negy LEDen.Rengeteg meglepetest okoztt mar a WP, nem minden mukodik amit fordit , es van olyan is, hogy (szerintem) helyesen megirt VHDL kodot nem jol fordit..
Pl az alabbi peldaban a 'count2' felteteleket szerettem volna az idoosztas ala rakni,de sehogy sem forditott jol, (6 makrocellat hasznalt

  1. Library ieee;
  2. Use ieee.std_logic_1164.ALL;
  3. Use ieee.std_logic_unsigned.ALL;
  4. Use ieee.std_logic_arith.ALL;
  5.  
  6. Entity led4 is
  7. port( DIR : in std_logic;
  8.  LEDEK : out std_logic_vector(3 downto 0);
  9.  CLK : in std_logic);
  10. end led4;
  11.  
  12. Architecture arch of led4 is
  13.  
  14. signal count : std_logic_vector(22 downto 0); --23 bites szamlalo
  15. signal count2 : std_logic_vector(5 downto 0):= "000010";
  16.  
  17. begin
  18.  
  19. proc1: process(CLK) --processz
  20. begin
  21.  if CLK'event and CLK='1' then --felfuto el detektalas
  22.  
  23.   if count2 = "100000" and DIR='1' then
  24.    count <= (others => '0');
  25.    count2 <= "000010";
  26.   end if;
  27.  
  28.   if count2 = "000001" and DIR='0' then
  29.    count <= (others => '0');
  30.    count2 <= "010000";
  31.   end if;
  32.  
  33.   count <= count + 1; --count leptetese
  34.  
  35.    if count = 0 then --idoosztas  
  36.           if DIR='1' then
  37.            count2 <= SHL(count2, "0001");        
  38.                 else if DIR='0' then
  39.                  count2 <= SHR(count2, "0001");
  40.       end if;
  41.           end if;
  42.    end if;
  43.  end if;                 
  44.  
  45. end process proc1;
  46.  
  47. LEDEK <= NOT count2(4 downto 1);
  48. end arch;
(#) dpeti válasza gtk hozzászólására (») Okt 10, 2007 /
 
érdemes alaposan áttanulmányozni a HDL nyelvek hasznáatáról szóló jegyzeteket + nem árt otthon lenni a digitális technikában, mert nem minden szintetizálható, ami a szimulációban helyesen működik...
(#) eltexto válasza gtk hozzászólására (») Okt 11, 2007 /
 
Én ha tapasztalom, hogy valamit nem úgy fordit ahogy én elképzeltem, akkor megnézem a szintézis szekcióban az RTL schematic -ot. Ez sokat segit abban, hogy kiismerjem, hogy kell neki megfogalmazni helyesen a feladatot.

Üdv. Zoli
(#) gtk hozzászólása Okt 18, 2007 /
 
Sziasztok !

Nincs valakinek I2C-Master VHDL forrasa?
Koszi.
(#) dpeti válasza gtk hozzászólására (») Okt 18, 2007 /
 
(#) gtk válasza dpeti hozzászólására (») Okt 19, 2007 /
 
Koszi !
(#) korbacs hozzászólása Okt 19, 2007 /
 
Sziasztok!

Nekem egy olyan problémám van, hogy hogyan lehetne labviewból felprogramozni egy cpld-t, mert ki szeretném vele váltani az impactot.
Viszont a dll fájlokról sehol nem találok leírást.

Köszi
(#) dpeti válasza korbacs hozzászólására (») Okt 20, 2007 /
 
nem tudod meghívni az impactot a labview-ból?
simán lehet írni scripteket hozzá, és egy .bat-fájlal fel tudod programozni...

én pl most olyat csináltam, hogy
-lefordítja a picoblaze progit
-átalakítja olyan formátumba, amit tud kezelni az impact
-és fel is tölti egyből

természetesen bármilyen .psm fájlnévvel meghívható, így tettszőlegeset fel lehet tölteni

a webpack doksijában van rengeteg példa erre, valamelyik pdf-ben a /xilinx/doc -ban
(#) korbacs válasza dpeti hozzászólására (») Okt 20, 2007 /
 
Köszi a segítséget, lehet hogy így fogom megcsinálni.
Az eredeti szándékom az volt, hogy egyáltalán ne kelljen használni az impactot.
(#) dpeti hozzászólása Okt 21, 2007 /
 
ezt nézzétek:

http://www.solder.net/stencilquik/default.asp

ezzel már csak be lehetne könnyen forrasztani azokat a ... BGA IC-ket
csak kár hogy olyan drága (mondjuk igaz, hogy 10 db kerül annyiba, 1-re leosztva már nem annyira rossz)
(#) gtk hozzászólása Okt 22, 2007 /
 
Sziasztok !

Velemenyeteket szeretnem kerni:

Tanulni melyiket erdemes venni:

HW-SPAR3E-SK-EC-G
HW-SPAR3A-SK-UNI-G
HW-SPAR3-SK-UNI-G
(#) dpeti válasza gtk hozzászólására (») Okt 22, 2007 /
 
a 3A drága, a 3E-n meg több cucc van, mint a sima 3-ason (és egy árban vannak), ellenben azon nincsen SRAM, így vagy block RAM-ot használsz, vagy megtanulod a DDR-SDRAM illesztést + a 3E-n van az az undorító hirose csatlakozó, amihez elég nehéz nyákot csinálni...

3AN
3E
3

viszont a 3E javára szolgál, hogy van rajta LCD, ethernet vezérlő, AD, DA, csomó flash memória, meg két soros port is.
(#) gtk válasza dpeti hozzászólására (») Okt 22, 2007 /
 
Koszi !

A 3E -n nincsen AD/DA. Igy asszem 3A-t fogok venni.Mondjuk eloszor megnezem mit tud az AD/DA.
A blokk -RAM alatt azt erted,hogy az FPGA -ban letrehozott RAM?
(#) dpeti válasza gtk hozzászólására (») Okt 22, 2007 /
 
hidd már el hogy van...
nekem is ilyen van

a block ram, az az fpga-ban fizikailag benne levő ram

amúgy meg tökimindegy, mert mindkettőn SPI buszos a DA és AD szóval nem tudod őket párhuzamosan használni, csak felváltva
(#) gtk válasza dpeti hozzászólására (») Okt 22, 2007 /
 
Ne vedd okoskodasnak; az adatlapon nem irja hogy lenne AD/DA.
Erre a kitre gondolsz?
Bővebben: Link
(#) dpeti válasza gtk hozzászólására (») Okt 22, 2007 /
 
erre, de én pl mielőtt dönteni szoktam megnézem az User Guide-okat is...

Bővebben: Link

vagy nézd meg nagy méretben a képet róla, ott lesznek bal oldalon felül (meg ott van neki két 6-os header is)
(#) gtk válasza dpeti hozzászólására (») Okt 22, 2007 /
 
Koszi.
Most nezem a user guide-okat.
A huzalozas (assing package pins) -nal van valami eloregyartott ucf file, vagy kell tudni hogy fizikailag hogy vannak az FPGA-ra kotve a cuccok?
(#) dpeti válasza gtk hozzászólására (») Okt 22, 2007 /
 
minden user guide végén van
(#) gtk válasza dpeti hozzászólására (») Okt 23, 2007 /
 
-Nem igazan ertem, ami a user guide vegen van pelda.

-Nyomogomb prellmentesitesre elegendo szokott lenni egy szamlalo ?(-->orajel leosztva, ~20ms-kent nezni a gomb altal billentett logika allapotat; gomb-felhuzo-ell. 10k)
Nekem valahogy nem igazan jott be, eleg sokat teved...AVRen ez a modszer muodott..
(#) dpeti válasza gtk hozzászólására (») Okt 23, 2007 /
 
van egy csomó lába az fpganak
ott abban a peldaban az van megadva, hogy mi mire van kotve, így nem kell neked kapcsrajz alapjan kibogaraszni, hanem onnan be copypastelheted azokat amik kellenek
(#) gtk válasza dpeti hozzászólására (») Okt 23, 2007 /
 
-Akkor direkt az .ucf filet irom/modositom?

-Megis Spartan 3E mellett dontottem, ..
(#) gtk hozzászólása Okt 26, 2007 /
 
Sziasztok !

Mar meg is rendelnem a Spartan 3E -t, csak nem tudom hogy jo-e hozza a CPLD -hez hazilag epitett JTAG (HC125-el), vagy mas kell.
Chipcadnel van ez, jo lesz hozza?
XILINX JTAG letöltőkábel CPLD és FPGA 5V/3.3V
A masik dolog a bovitessel kapcsolatos csatlakozo. Chipcad irta hogy van, de a tipusat veletlenul sem irta
Tudja valaki?

Koszi.
(#) dpeti válasza gtk hozzászólására (») Okt 26, 2007 /
 
olvasd már el az user guideját egyszer...
benne van hogy tartalmaz beépített USB-s JTAG-ot
rádugod a boardot USB portra, és az Impactal fel tudod programozni
(#) gtk válasza dpeti hozzászólására (») Okt 26, 2007 /
 
Ennek orulok, koszi
El fogom olvasni elobb utobb, csak mostanaban semmire nincs idom..
Következő: »»   6 / 49
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem