Fórum témák

» Több friss téma
Fórum » DC motor fix RPM
 
Témaindító: árpix, idő: Dec 13, 2006
Témakörök:
Lapozás: OK   2 / 3
(#) árpix válasza kyrk hozzászólására (») Jan 7, 2007 /
 
Nem kell irányt váltani ,egyszerűen közel egy fordulaton kell tartanom a motort terhelés függetlenül ,
nem kell H híd sem csak egy fet.
(#) kyrk válasza árpix hozzászólására (») Jan 7, 2007 /
 
Keszitettem egy vazlattervet. Szerintem valahogy igy kellene kineznie a dolognak:

http://kyrk.villamvadasz.hu/pwm/
.sch (4.11 eagle)

Esetleg egy ICD csatit lehetne meg ra tenni, hogy kenyelmesebb legyen a programozas.
(#) árpix válasza kyrk hozzászólására (») Jan 7, 2007 /
 
hogy lehet megnézni a rajzot, mert valami felhasználónevet kér ha ráklikkelek.
(#) kyrk válasza árpix hozzászólására (») Jan 7, 2007 /
 
Nalam nem ker semmit. Valamit rosszul csinaltal.

http://kyrk.villamvadasz.hu/pwm/untitled.sch
Tolsd le majd eagle-vel nyisd meg.
(#) árpix válasza kyrk hozzászólására (») Jan 8, 2007 /
 
igen ,ilyenre gondoltam. a fordulatszámot azt a progiba lehet majd megadni ? és C-ben lehet ?
(#) kyrk válasza árpix hozzászólására (») Jan 8, 2007 /
 
Igen, igen.
(#) árpix válasza kyrk hozzászólására (») Jan 8, 2007 /
 
köszönöm szépen !
(#) árpix válasza árpix hozzászólására (») Jan 14, 2007 /
 
nagyon bonyi egy ilyen progi ?
(#) kyrk válasza árpix hozzászólására (») Jan 14, 2007 /
 
Attol fugg, tudsz-e programozni. Ha igen akkor nem bonyolult. Ha nem akkor akar vert is lehet izzadni.

Na jo, azert egy jo szabalyozohoz nem art ha tanult az ember Automatikat. Nem art programozni is, hiszen egy PI szabalyozot leprogramozni nem kezdoknek valo dolog.
(#) árpix válasza kyrk hozzászólására (») Jan 14, 2007 /
 
akkor ez nekem bonyi ! sajna kezdőnek számítok de határozottan érdekel a dolog, csak ez most kellene azért kértem segítséget, vagyis megoldást a "problémára" :yes:
(#) árpix válasza árpix hozzászólására (») Jan 16, 2007 /
 
mikor tudsz időt szánni a dologra ? vagy ez Neked is sok idő ? :integet2:
(#) kyrk válasza árpix hozzászólására (») Jan 16, 2007 /
 
Tudok vele foglalkozni. De csak 1-2 heten belul lesz keszen. Sajat magamnak is kell egy ilyen ugyis. De meg nem tudom pontosan mikorra kell .Szoval az 1-2 het is olyan kb. dolog.
(#) árpix válasza kyrk hozzászólására (») Feb 11, 2007 /
 
valami fejlemény ?
(#) árpix válasza kyrk hozzászólására (») Feb 12, 2007 /
 
hogy áll a project ?
má vanvagy 3-4 hete ,hogy igérted ? :yes:
(#) szabi83 válasza árpix hozzászólására (») Feb 12, 2007 /
 
1-2 hét mire kész lesz, de azt nem írta h mikor áll neki nem?
(#) kyrk válasza árpix hozzászólására (») Feb 12, 2007 /
 
Hali!

Megvannak a panelek csak penzem nincs PIC-re,FET-re meg a tobbire.
(#) árpix válasza kyrk hozzászólására (») Feb 13, 2007 /
 
a panel már nekem is kész van meg a többi is megvan
(#) árpix válasza szabi83 hozzászólására (») Feb 13, 2007 /
 
igazat szóltál ! köszönöm a helyrerakást
(#) árpix hozzászólása Feb 21, 2007 /
 
szóval ,nem tud valaki ilyen konktrét kapcsolást progival ?
(#) árpix hozzászólása Feb 21, 2007 /
 
senki sem csinált még ilyet ?
(#) kyrk hozzászólása Feb 21, 2007 /
 
Hali!

Ez egy digitalis PI szabalyozo MCC18-ban megirva. Itthon eddig jutottam a progival. Ha tudsz C-ben programozni akkor elboldogulsz vele, ha nem akkor nem tudom mitove legyel.

Vegulis 3 I/O resze van a dolognak. Egy alapjel 0-5V, egy ellenorzo jel 0-5V es a PWM kimenet ami direktben meg tud hajtani egy H hidat. Doksiban van peldakapcsolas. Ellenorzojelre kell kotnod a fordulattal aranyos feszultsegjelet. Alapjelre mondjuk poti. Kapcsolasi rajzot nem nehez kitalalni ebbol. Kvarznak 20Mhz emlekeim szerint.

http://kyrk.villamvadasz.hu/pwm/RC_Servo.zip

Szabalyozastechnikabol nem tudom mennyire vagy otthon. Ha nem akkor proba cseresznye modra csinald. Eloszor tiszta P-tag, majd Ptag 20% csokkentve es I tag novelese picit. Aztan majd kiaalakul.
(#) kyrk válasza kyrk hozzászólására (») Feb 28, 2007 /
 
Hali!

Sikerult elkeszitenem a nyakot es kiprobaltam. Azt hiszem nem kell nagyon reszleteznem, hogy az optocsatolo miatt a PWM frekije felulrol erosen korlatos. En 1-2 kHz kozott hasznalom. Tovabba minimum 5-6 V kell a H-hidnak a mukodeshez. Nagyobb terhelessel nem probaltam meg, majd kiderul mennyire melegednek a FET-ek. Ha bevalik a program majd epitek hozza olyan panelt amin lesznek rendes FET driverek.

A szoftver egyenlore csak egy PI szabalyozot tartalmaz. Eddig ugy tunik jol mukodik, bar eleg sokat szivtam vele.

Szoftver es hardware:
http://kyrk.villamvadasz.hu/PI/
(#) roleez hozzászólása Feb 28, 2007 /
 
Sziasztok!

A PI szabályzó helyett lehet, hogy érdemesebb lenne egy sliding-mode (csúszó-mód) szabályozás. Sokkal egyszerűbb a program és robusztusabb, túllendülésmentes fordulatszám szabályozást ad.
Érdekel valakit ez?
Roland
(#) kyrk válasza roleez hozzászólására (») Feb 28, 2007 /
 
Engem erdekel. Hogy is mukodik egy ilyen? Googli nem sokat mond rola. Ami van az inkabb matematikai reszletezese a dolognak, de megvalositasrol egy gyakorlati tippekrol egy szot sem ad.
(#) árpix válasza roleez hozzászólására (») Feb 28, 2007 /
 
engem is érdekelne
(#) árpix válasza kyrk hozzászólására (») Feb 28, 2007 /
 
sajnos ez így nekem túl tömény ,nem tudom belőle kihámozni mi az ami nekem kell
(#) roleez válasza kyrk hozzászólására (») Márc 1, 2007 /
 
Most nincs sok időm, de délután leírom a lényeget, meg előkotrok pár doksit ami használható.
(#) sargarigo válasza roleez hozzászólására (») Jan 29, 2012 /
 
Up!

Pár év eltelt már azóta, van még valaki, akinek aktuális a dolog? Nekem most van terítéken egy ilyen, és érdekelnének tapasztalatok, megoldások a témával kapcsolatban!
(#) sargarigo hozzászólása Feb 12, 2012 /
 
Mégegyszer up, hátha!

Tud valaki analóg megoldást arra, hogy frekvenciával arányos _dc_ feszültséget hogyan lehet előállítani?
Tachométernek kellene, de ami megoldásokat találtam eddig, az mind ac volt, vagy pwm (mondván, hogy a deprez műszer úgyis elmegy vele).
Nekem viszont egy komparátorba kellene belevezetnem, hogy az alapjellel összehasonlítva visszacsatolt motorszabályozásra tudjam használni.
Google most nem volt a barátom, lehet hogy nem tudom mit kellene keresni.
(#) kadarist válasza sargarigo hozzászólására (») Feb 12, 2012 /
 
Szia!
Használj valamilyen cél-IC-t erre a feladatra: Frekvencia-feszültség átalakítók
Következő: »»   2 / 3
Bejelentkezés

Belépés

Hirdetés
XDT.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem